//: version "2.0-b10" //: property encoding = "iso8859-1" //: property locale = "en" //: property prefix = "_GG" //: property title = "scpu" //: property timingViolationMode = 2 //: property initTime = "0 ns" `timescale 1ns/1ns //: /symbolBegin: 146042696 //: /iconBegin normal 238 16 15 //: /data "#define bitmap_width 18" //: /data "#define bitmap_height 8" //: /data "static unsigned char bitmap_bits[] = {" //: /data " 0xc0, 0xff, 0x03, 0x40, 0x00, 0x00, 0x40, 0x00, 0x00, 0x40, 0x00, 0x00," //: /data " 0x40, 0x00, 0x00, 0x40, 0x00, 0x00, 0x40, 0x00, 0x00, 0x7f, 0x00, 0x00};" //: /iconEnd //: /iconBegin select 278 16 14 //: /data "#define bitmap_width 18" //: /data "#define bitmap_height 10" //: /data "static unsigned char bitmap_bits[] = {" //: /data " 0xe0, 0xff, 0x03, 0xe0, 0xff, 0x03, 0xe0, 0xff, 0x03, 0xe0, 0x00, 0x00," //: /data " 0xe0, 0x00, 0x00, 0xe0, 0x00, 0x00, 0xe0, 0x00, 0x00, 0xff, 0x00, 0x00," //: /data " 0xff, 0x00, 0x00, 0xff, 0x00, 0x00};" //: /iconEnd //: /port output Z @(34, 19) /r:0 //: /symbolEnd //: /netlistBegin main module main; //: root_module reg w60; //: /sn:0 {0}(-151,850)(-151,870)(-122,870){1} reg w9; //: /sn:0 {0}(60,779)(69,779)(69,883)(204,883){1} //: {2}(208,883)(318,883){3} //: {4}(322,883)(437,883){5} //: {6}(441,883)(564,883){7} //: {8}(568,883)(708,883)(708,796)(686,796){9} //: {10}(566,881)(566,783)(549,783){11} //: {12}(439,881)(439,784)(427,784){13} //: {14}(320,885)(320,956)(351,956)(351,943){15} //: {16}(206,881)(206,784)(188,784){17} wire [1:0] w32; //: /sn:0 {0}(#:340,626)(-192,626)(-192,996)(#:-25,996){1} wire w6; //: /sn:0 {0}(595,582)(663,582){1} //: {2}(667,582)(675,582){3} //: {4}(665,584)(665,745){5} wire w7; //: /sn:0 {0}(595,572)(695,572){1} //: {2}(699,572)(706,572){3} //: {4}(697,574)(697,772)(686,772){5} wire w61; //: /sn:0 {0}(-101,873)(-73,873){1} wire [7:0] w14; //: /sn:0 {0}(#:386,745)(386,715)(313,715){1} //: {2}(311,713)(311,561){3} //: {4}(#:313,559)(366,559)(366,549){5} //: {6}(311,557)(311,549){7} //: {8}(309,559)(265,559)(#:265,542){9} //: {10}(309,715)(265,715)(#:265,745){11} wire w16; //: /sn:0 {0}(221,643)(289,643){1} //: {2}(293,643)(301,643){3} //: {4}(291,645)(291,745){5} wire [1:0] w56; //: /sn:0 {0}(-50,936)(#:-25,936){1} wire w19; //: /sn:0 {0}(455,601)(532,601){1} //: {2}(536,601)(546,601){3} //: {4}(534,603)(534,745){5} wire w15; //: /sn:0 {0}(221,653)(237,653){1} //: {2}(241,653)(251,653){3} //: {4}(239,655)(239,745){5} wire w4; //: /sn:0 {0}(-139,906)(-132,906){1} //: {2}(-128,906)(-25,906){3} //: {4}(-130,904)(-130,875)(-122,875){5} wire w3; //: /sn:0 {0}(-52,871)(-43,871){1} //: {2}(-39,871)(91,871){3} //: {4}(95,871)(331,871){5} //: {6}(335,871)(450,871){7} //: {8}(454,871)(580,871)(580,854){9} //: {10}(582,852)(587,852)(587,852)(592,852){11} //: {12}(580,850)(580,788)(600,788){13} //: {14}(452,869)(452,861)(452,861)(452,854){15} //: {16}(454,852)(461,852)(461,852)(468,852){17} //: {18}(452,850)(452,784)(467,784){19} //: {20}(333,869)(333,859)(333,859)(333,854){21} //: {22}(335,852)(340,852)(340,852)(345,852){23} //: {24}(333,850)(333,784)(345,784){25} //: {26}(93,869)(93,860)(93,860)(93,854){27} //: {28}(95,852)(99,852)(99,852)(103,852){29} //: {30}(93,850)(93,785)(106,785){31} //: {32}(-41,869)(-41,854){33} //: {34}(-39,852)(-36,852)(-36,852)(-33,852){35} //: {36}(-41,850)(-41,781)(-22,781){37} wire [1:0] w0; //: /sn:0 {0}(#:-25,966)(-49,966){1} wire [7:0] w21; //: /sn:0 {0}(563,552)(563,562)(#:510,562){1} //: {2}(508,560)(508,552){3} //: {4}(506,562)(462,562)(#:462,545){5} //: {6}(508,564)(#:508,745){7} wire [7:0] w54; //: /sn:0 {0}(#:149,828)(149,902)(265,902){1} //: {2}(269,902)(386,902){3} //: {4}(390,902)(506,902){5} //: {6}(510,902)(642,902){7} //: {8}(646,902)(677,902)(716,902){9} //: {10}(720,902)(731,902){11} //: {12}(718,900)(718,876)(#:731,876){13} //: {14}(#:718,904)(718,936)(731,936){15} //: {16}(644,900)(#:644,827){17} //: {18}(508,900)(#:508,827){19} //: {20}(388,900)(#:388,827){21} //: {22}(267,900)(#:267,827){23} wire [1:0] w31; //: /sn:0 {0}(#:449,606)(-202,606)(-202,1006)(#:-25,1006){1} wire [3:0] w58; //: /sn:0 {0}(-56,916)(#:-25,916){1} wire [1:0] w28; //: /sn:0 {0}(#:-49,1036)(-25,1036){1} wire [1:0] w36; //: /sn:0 {0}(#:215,648)(-183,648)(-183,986)(#:-25,986){1} wire w24; //: /sn:0 {0}(102,667)(171,667){1} //: {2}(173,669)(173,746){3} //: {4}(175,667)(186,667){5} wire w20; //: /sn:0 {0}(102,677)(119,677){1} //: {2}(121,679)(121,746){3} //: {4}(123,677)(131,677){5} wire w1; //: /sn:0 {0}(-85,768)(-85,783){1} wire w25; //: /sn:0 {0}(455,611)(480,611){1} //: {2}(484,611)(491,611){3} //: {4}(482,613)(482,745){5} wire w8; //: /sn:0 {0}(595,592)(615,592){1} //: {2}(619,592)(628,592){3} //: {4}(617,594)(617,745){5} wire [4:0] w35; //: /sn:0 {0}(-50,956)(#:-25,956){1} wire [2:0] w30; //: /sn:0 {0}(-25,1026)(-211,1026)(-211,582)(#:589,582){1} wire [7:0] w22; //: /sn:0 {0}(202,549)(202,559)(#:149,559){1} //: {2}(147,557)(147,549){3} //: {4}(145,559)(101,559)(#:101,542){5} //: {6}(147,561)(147,713){7} //: {8}(#:145,715)(20,715)(20,745){9} //: {10}(147,717)(#:147,746){11} wire w59; //: /sn:0 {0}(-129,777)(-129,799)(-97,799)(-97,868)(-73,868){1} wire [11:0] w2; //: /sn:0 {0}(#:-112,768)(-112,779){1} //: {2}(#:-110,781)(-99,781)(-99,732){3} //: {4}(-114,781)(-128,781){5} //: {6}(-129,781)(-141,781){7} wire w11; //: /sn:0 {0}(346,631)(358,631){1} //: {2}(362,631)(372,631){3} //: {4}(360,633)(360,745){5} wire w12; //: /sn:0 {0}(346,621)(410,621){1} //: {2}(414,621)(423,621){3} //: {4}(412,623)(412,745){5} wire [1:0] w57; //: /sn:0 {0}(-56,926)(#:-25,926){1} wire [7:0] w10; //: /sn:0 {0}(748,550)(748,560)(#:695,560){1} //: {2}(693,558)(693,550){3} //: {4}(691,560)(647,560)(#:647,543){5} //: {6}(693,562)(693,654)(639,654)(639,745){7} wire [31:0] w27; //: /sn:0 {0}(#:25,945)(156,945)(156,958){1} wire [3:0] w13; //: /sn:0 {0}(#:25,966)(49,966){1} wire [35:0] w5; //: /sn:0 {0}(#:-19,971)(21,971)(21,966){1} //: {2}(21,965)(21,945){3} //: {4}(21,944)(#:21,827){5} wire [3:0] w29; //: /sn:0 {0}(-45,1016)(#:-25,1016){1} wire [1:0] w39; //: /sn:0 {0}(#:96,672)(-176,672)(-176,976)(#:-25,976){1} wire [2:0] w55; //: /sn:0 {0}(-50,946)(#:-25,946){1} //: enddecls //: joint g137 (w15) @(239, 653) /w:[ 2 -1 1 4 ] assign {w12, w11} = w32; //: CONCAT g4 @(341,626) /sn:0 /R:2 /w:[ 0 0 0 ] /dr:1 /tp:0 /drp:0 //: joint g8 (w22) @(147, 715) /w:[ -1 7 8 10 ] //: joint g165 (w3) @(580, 852) /w:[ 10 12 -1 9 ] assign {w19, w25} = w31; //: CONCAT g3 @(450,606) /sn:0 /R:2 /w:[ 0 0 0 ] /dr:1 /tp:0 /drp:0 //: joint g51 (w3) @(452, 871) /w:[ -1 8 14 7 ] //: joint g158 (w3) @(93, 852) /w:[ 27 28 30 -1 ] //: joint g34 (w10) @(693, 560) /w:[ 1 2 4 6 ] MDR g58 (.bw(w19), .br(w25), .Clk(w3), .Clr(w9), .data(w21), .bus(w54)); //: @(468, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>5 Ti1>5 Li0>19 Ri0>11 To0<7 Bt0=19 ] //: LED g140 (w20) @(138,677) /sn:0 /R:3 /w:[ 5 ] /type:0 //: LED g13 (w14) @(265,535) /sn:0 /w:[ 9 ] /type:1 //: joint g139 (w24) @(173, 667) /w:[ 2 4 -1 1 ] //: joint g110 (w54) @(718, 902) /w:[ 10 12 9 14 ] //: LED g2 (w14) @(311,542) /sn:0 /w:[ 7 ] /type:2 //: LED g111 (w54) @(738,902) /sn:0 /R:3 /w:[ 11 ] /type:2 //: LED g157 (w4) @(-146,906) /sn:0 /R:1 /w:[ 0 ] /type:0 //: joint g163 (w3) @(452, 852) /w:[ 16 18 -1 15 ] assign w27 = w5[31:0]; //: TAP g1 @(19,945) /sn:0 /R:2 /w:[ 0 3 4 ] /ss:1 //: joint g161 (w3) @(333, 852) /w:[ 22 24 -1 21 ] MAR g16 (.bw(w12), .br(w11), .Clk(w3), .Clr(w9), .data(w14), .bus(w54)); //: @(346, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>5 Ti1>5 Li0>25 Ri0>13 To0<0 Bt0=21 ] //: LED g11 (w3) @(110,852) /sn:0 /R:3 /w:[ 29 ] /type:0 //: LED g122 (w27) @(156,965) /sn:0 /R:2 /w:[ 1 ] /type:1 //: LED g130 (w12) @(430,621) /sn:0 /R:3 /w:[ 3 ] /type:0 _GGAND2 #(6) g121 (.I0(w59), .I1(!w61), .Z(w3)); //: @(-62,871) /sn:0 /w:[ 1 1 0 ] //: LED g10 (w3) @(-26,852) /sn:0 /R:3 /w:[ 35 ] /type:0 //: joint g28 (w7) @(697, 572) /w:[ 2 -1 1 4 ] assign {w4, w58, w57, w56, w55, w35, w0, w39, w36, w32, w31, w29, w30, w28} = w5; //: CONCAT g32 @(-20,971) /sn:0 /w:[ 3 1 1 1 1 1 0 1 1 1 1 1 0 1 0 ] /dr:0 /tp:0 /drp:0 //: joint g129 (w25) @(482, 611) /w:[ 2 -1 1 4 ] //: comment g171 @(-135,909) /sn:0 //: /line:"stop" //: /end //: joint g27 (w21) @(508, 562) /w:[ 1 2 4 6 ] //: LED g132 (w11) @(379,631) /sn:0 /R:3 /w:[ 3 ] /type:0 //: joint g19 (w14) @(311, 715) /w:[ 1 2 10 -1 ] //: joint g69 (w9) @(320, 883) /w:[ 4 -1 3 14 ] //: SWITCH g6 (w9) @(351,930) /sn:0 /R:3 /w:[ 15 ] /st:1 /dn:0 //: joint g119 (w8) @(617, 592) /w:[ 2 -1 1 4 ] //: joint g57 (w9) @(566, 883) /w:[ 8 10 7 -1 ] //: joint g9 (w3) @(-41, 852) /w:[ 34 36 -1 33 ] //: joint g53 (w54) @(508, 902) /w:[ 6 18 5 -1 ] //: comment g7 @(375,925) /sn:0 //: /line:"clear" //: /end //: LED g15 (w14) @(366,542) /sn:0 /w:[ 5 ] /type:3 //: joint g135 (w16) @(291, 643) /w:[ 2 -1 1 4 ] //: LED g160 (w3) @(352,852) /sn:0 /R:3 /w:[ 23 ] /type:0 //: joint g169 (w3) @(-41, 871) /w:[ -1 2 32 1 ] //: LED g20 (w21) @(508,545) /sn:0 /w:[ 3 ] /type:2 //: LED g31 (w10) @(647,536) /sn:0 /w:[ 5 ] /type:1 //: LED g162 (w3) @(475,852) /sn:0 /R:3 /w:[ 17 ] /type:0 //: joint g131 (w12) @(412, 621) /w:[ 2 -1 1 4 ] //: joint g127 (w19) @(534, 601) /w:[ 2 -1 1 4 ] assign {w7, w6, w8} = w30; //: CONCAT g39 @(590,582) /sn:0 /R:2 /w:[ 0 0 0 1 ] /dr:1 /tp:0 /drp:0 //: LED g43 (w22) @(147,542) /sn:0 /w:[ 3 ] /type:2 assign w13 = w5[35:32]; //: TAP g48 @(19,966) /sn:0 /R:2 /w:[ 0 1 2 ] /ss:1 //: joint g73 (w9) @(206, 883) /w:[ 2 16 1 -1 ] //: LED g29 (w6) @(682,582) /sn:0 /R:3 /w:[ 3 ] /type:0 //: LED g25 (w7) @(713,572) /sn:0 /R:3 /w:[ 3 ] /type:0 //: joint g17 (w3) @(333, 871) /w:[ -1 6 20 5 ] //: LED g138 (w24) @(193,667) /sn:0 /R:3 /w:[ 5 ] /type:0 //: joint g42 (w3) @(93, 871) /w:[ -1 4 26 3 ] CTRL ctrl (.I(w22), .Clk(w3), .Clr(w9), .CON(w5)); //: @(-21, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>9 Li0>37 Ri0>0 Bo0<5 ] //: comment g174 @(-169,809) /sn:0 //: /line:"resume" //: /end //: LED g109 (w54) @(738,876) /sn:0 /R:3 /w:[ 13 ] /type:1 RAM ram (.Addr(w14), .br(w15), .bw(w16), .bus(w54)); //: @(225, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>11 Ti1>5 Ti2>5 Bt0=23 ] //: LED g56 (w8) @(635,592) /sn:0 /R:3 /w:[ 3 ] /type:0 //: joint g5 (w54) @(644, 902) /w:[ 8 16 7 -1 ] //: joint g133 (w11) @(360, 631) /w:[ 2 -1 1 4 ] //: joint g14 (w54) @(267, 902) /w:[ 2 22 1 -1 ] //: joint g47 (w6) @(665, 582) /w:[ 2 -1 1 4 ] //: LED g44 (w22) @(101,535) /sn:0 /w:[ 5 ] /type:1 assign {w24, w20} = w39; //: CONCAT g24 @(97,672) /sn:0 /R:2 /w:[ 0 0 0 ] /dr:1 /tp:0 /drp:0 //: joint g36 (w2) @(-112, 781) /w:[ 2 1 4 -1 ] assign w59 = w2[11]; //: TAP g159 @(-129,779) /sn:0 /R:1 /w:[ 0 6 5 ] /ss:1 _GGAND2 #(6) g172 (.I0(!w60), .I1(w4), .Z(w61)); //: @(-111,873) /sn:0 /w:[ 1 5 0 ] //: LED g21 (w21) @(462,538) /sn:0 /w:[ 5 ] /type:1 //: joint g144 (w54) @(388, 902) /w:[ 4 20 3 -1 ] assign {w16, w15} = w36; //: CONCAT g23 @(216,648) /sn:0 /R:2 /w:[ 0 0 0 ] /dr:1 /tp:0 /drp:0 //: joint g141 (w20) @(121, 677) /w:[ 2 4 -1 1 ] //: LED g41 (w13) @(56,966) /sn:0 /R:3 /w:[ 1 ] /type:1 IR g40 (.bw(w24), .br(w20), .Clk(w3), .Clr(w9), .data(w22), .bus(w54)); //: @(107, 747) /sz:(80, 80) /sn:0 /p:[ Ti0>3 Ti1>3 Li0>31 Ri0>17 To0<11 Bt0=0 ] //: LED g45 (w22) @(202,542) /sn:0 /w:[ 0 ] /type:3 //: LED g126 (w19) @(553,601) /sn:0 /R:3 /w:[ 3 ] /type:0 //: joint g170 (w4) @(-130, 906) /w:[ 2 4 1 -1 ] //: LED g22 (w21) @(563,545) /sn:0 /w:[ 0 ] /type:3 //: LED g35 (w2) @(-99,725) /sn:0 /w:[ 3 ] /type:1 PC g0 (.br(w8), .bw(w6), .Clk(w3), .Clr(w9), .Inc(w7), .data(w10), .bus(w54)); //: @(601, 746) /sz:(84, 80) /sn:0 /p:[ Ti0>5 Ti1>5 Li0>13 Ri0>9 Ri1>5 To0<7 Bt0=17 ] //: joint g46 (w22) @(147, 559) /w:[ 1 2 4 6 ] //: joint g26 (w14) @(311, 559) /w:[ 4 6 8 3 ] oscillator g12 (.F0(w1), .Fdiv(w2)); //: @(-139, 687) /sz:(80, 80) /R:3 /sn:0 /p:[ Bo0<0 Bo1<0 ] //: joint g18 (w9) @(439, 883) /w:[ 6 12 5 -1 ] //: LED g128 (w25) @(498,611) /sn:0 /R:3 /w:[ 3 ] /type:0 //: LED g136 (w15) @(258,653) /sn:0 /R:3 /w:[ 3 ] /type:0 //: LED g164 (w3) @(599,852) /sn:0 /R:3 /w:[ 11 ] /type:0 //: LED g108 (w54) @(738,936) /sn:0 /R:3 /w:[ 15 ] /type:3 //: LED g30 (w10) @(693,543) /sn:0 /w:[ 3 ] /type:2 //: SWITCH g173 (w60) @(-151,837) /sn:0 /R:3 /w:[ 0 ] /st:0 /dn:0 //: LED g33 (w10) @(748,543) /sn:0 /w:[ 0 ] /type:3 //: LED g134 (w16) @(308,643) /sn:0 /R:3 /w:[ 3 ] /type:0 endmodule //: /netlistEnd //: /netlistBegin MAR module MAR(Clr, bw, Clk, data, bus, br); //: interface /sz:(80, 80) /bd:[ Ti0>br(14/80) Ti1>bw(66/80) Li0>Clk(38/80) Ri0>Clr(38/80) To01 Bi0>15 Ri0>15 Ri1>1 Lo0<3 Lo1<0 ] //: joint g51 (br) @(898, 656) /w:[ 16 18 15 -1 ] _GGOR2 #(6) g58 (.I0(w48), .I1(w46), .Z(w27)); //: @(702,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: IN g55 (Clr) @(986,849) /sn:0 /R:2 /w:[ 1 ] //: joint g89 (w11) @(510, 849) /w:[ 9 10 12 -1 ] //: joint g112 (Clk) @(289, 893) /w:[ 17 18 20 -1 ] _GGAND2 #(6) g110 (.I0(w9), .I1(w96), .Z(w93)); //: @(-133,700) /sn:0 /R:3 /w:[ 0 5 0 ] _GGOR2 #(6) g77 (.I0(w64), .I1(w63), .Z(w65)); //: @(428,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g65 (br) @(718, 656) /w:[ 12 -1 11 22 ] //: VDD g111 (w87) @(107,722) /sn:0 /w:[ 0 ] _GGAND2 #(6) g76 (.I0(w9), .I1(w61), .Z(w63)); //: @(416,700) /sn:0 /R:3 /w:[ 23 5 0 ] _GGAND2 #(6) g59 (.I0(br), .I1(w50), .Z(w48)); //: @(715,700) /sn:0 /R:3 /w:[ 23 1 0 ] //: joint g72 (w11) @(647, 849) /w:[ 5 6 8 -1 ] //: VDD g99 (w84) @(-167,722) /sn:0 /w:[ 0 ] _GGAND2 #(6) g98 (.I0(br), .I1(w83), .Z(w82)); //: @(29,700) /sn:0 /R:3 /w:[ 33 1 0 ] //: joint g64 (Clk) @(839, 893) /w:[ 1 2 4 -1 ] _GGAND2 #(6) g96 (.I0(w9), .I1(w78), .Z(w81)); //: @(4,700) /sn:0 /R:3 /w:[ 29 5 0 ] //: joint g122 (w53) @(595, 770) /w:[ 2 1 -1 4 ] _GGOR2 #(6) g103 (.I0(w86), .I1(w91), .Z(w88)); //: @(153,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g121 (w36) @(732, 769) /w:[ 2 1 -1 4 ] //: IN g130 (bw) @(986,1064) /sn:0 /R:2 /w:[ 0 ] //: VDD g87 (w70) @(245,722) /sn:0 /w:[ 0 ] _GGAND2 #(6) g78 (.I0(br), .I1(w66), .Z(w64)); //: @(441,700) /sn:0 /R:3 /w:[ 27 1 0 ] _GGNBUF #(2) g50 (.I(br), .Z(w9)); //: @(872,632) /sn:0 /R:2 /w:[ 19 15 ] _GGBUFIF8 #(4, 6) g129 (.Z(bus), .I(data), .E(bw)); //: @(697,1062) /sn:0 /R:3 /w:[ 3 0 1 ] d g113 (._Preset(w84), ._Clear(w11), .Clk(Clk), .D(w94), .Q(w96), ._Q(w97)); //: @(-217, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>29 Ri0>29 Ri1>1 Lo0<3 Lo1<0 ] //: joint g132 (data) @(697, 1027) /w:[ 2 4 -1 1 ] d g102 (._Preset(w87), ._Clear(w11), .Clk(Clk), .D(w88), .Q(w89), ._Q(w90)); //: @(57, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>23 Ri0>23 Ri1>1 Lo0<3 Lo1<0 ] _GGAND2 #(6) g69 (.I0(br), .I1(w59), .Z(w57)); //: @(578,700) /sn:0 /R:3 /w:[ 25 1 0 ] //: joint g115 (br) @(169, 656) /w:[ 4 -1 3 30 ] assign {w95, w83, w85, w68, w66, w59, w50, w49} = bus; //: CONCAT g119 @(901,544) /sn:0 /w:[ 0 0 0 0 0 0 0 0 0 ] /dr:0 /tp:0 /drp:0 //: IN g57 (Clk) @(986,893) /sn:0 /R:2 /w:[ 0 ] //: joint g75 (w9) @(556, 632) /w:[ 10 -1 9 20 ] //: joint g53 (w9) @(830, 632) /w:[ 14 -1 13 16 ] d g71 (._Preset(w60), ._Clear(w11), .Clk(Clk), .D(w58), .Q(w54), ._Q(w62)); //: @(469, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>11 Ri0>11 Ri1>1 Lo0<3 Lo1<0 ] //: joint g124 (w61) @(321, 770) /w:[ 2 4 -1 1 ] //: joint g127 (w78) @(-91, 770) /w:[ 2 4 -1 1 ] _GGAND2 #(6) g67 (.I0(w9), .I1(w54), .Z(w56)); //: @(553,700) /sn:0 /R:3 /w:[ 21 5 0 ] //: OUT g131 (data) @(986,1027) /sn:0 /w:[ 3 ] _GGOR2 #(6) g68 (.I0(w57), .I1(w56), .Z(w58)); //: @(565,745) /sn:0 /R:3 /w:[ 1 1 0 ] _GGOR2 #(6) g48 (.I0(w47), .I1(w44), .Z(w18)); //: @(839,744) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g104 (Clk) @(151, 893) /w:[ 21 22 24 -1 ] //: joint g88 (Clk) @(563, 893) /w:[ 9 10 12 -1 ] //: joint g73 (Clk) @(701, 893) /w:[ 5 6 8 -1 ] //: joint g62 (w11) @(784, 849) /w:[ 1 2 4 -1 ] d g63 (._Preset(w51), ._Clear(w11), .Clk(Clk), .D(w27), .Q(w53), ._Q(w55)); //: @(606, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>7 Ri0>7 Ri1>1 Lo0<3 Lo1<0 ] _GGAND2 #(6) g107 (.I0(br), .I1(w95), .Z(w92)); //: @(-108,700) /sn:0 /R:3 /w:[ 0 1 0 ] //: joint g106 (w11) @(-39, 849) /w:[ 25 26 28 -1 ] //: joint g52 (br) @(855, 656) /w:[ 14 -1 13 20 ] _GGOR2 #(6) g83 (.I0(w69), .I1(w74), .Z(w71)); //: @(291,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: VDD g109 (w77) @(-30,722) /sn:0 /w:[ 0 ] //: joint g74 (br) @(581, 656) /w:[ 10 -1 9 24 ] _GGAND2 #(6) g100 (.I0(br), .I1(w85), .Z(w86)); //: @(166,700) /sn:0 /R:3 /w:[ 31 1 0 ] _GGNBUF #(2) g56 (.I(Clr), .Z(w11)); //: @(920,849) /sn:0 /R:2 /w:[ 0 0 ] //: INOUT g133 (bus) @(697,1147) /sn:0 /R:1 /w:[ 5 ] //: joint g117 (br) @(32, 656) /w:[ 2 -1 1 32 ] d g95 (._Preset(w77), ._Clear(w11), .Clk(Clk), .D(w67), .Q(w78), ._Q(w79)); //: @(-80, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>27 Ri0>27 Ri1>1 Lo0<3 Lo1<0 ] //: joint g79 (w11) @(373, 849) /w:[ 13 14 16 -1 ] //: joint g94 (w11) @(98, 849) /w:[ 21 22 24 -1 ] _GGAND2 #(6) g80 (.I0(br), .I1(w68), .Z(w69)); //: @(304,700) /sn:0 /R:3 /w:[ 29 1 0 ] _GGAND2 #(6) g47 (.I0(br), .I1(w49), .Z(w47)); //: @(852,699) /sn:0 /R:3 /w:[ 21 1 0 ] //: joint g92 (br) @(307, 656) /w:[ 6 -1 5 28 ] //: VDD g85 (w75) @(382,722) /sn:0 /w:[ 0 ] _GGOR2 #(6) g105 (.I0(w92), .I1(w93), .Z(w94)); //: @(-121,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g84 (Clk) @(427, 893) /w:[ 13 14 16 -1 ] //: joint g125 (w72) @(184, 770) /w:[ 2 4 -1 1 ] _GGAND2 #(6) g101 (.I0(w9), .I1(w89), .Z(w91)); //: @(141,700) /sn:0 /R:3 /w:[ 27 5 0 ] _GGAND2 #(6) g81 (.I0(w9), .I1(w72), .Z(w74)); //: @(279,700) /sn:0 /R:3 /w:[ 25 5 0 ] //: VDD g60 (w51) @(656,722) /sn:0 /w:[ 0 ] //: joint g123 (w54) @(458, 770) /w:[ 2 4 -1 1 ] //: joint g116 (w9) @(144, 632) /w:[ 4 -1 3 26 ] //: joint g93 (w9) @(282, 632) /w:[ 6 -1 5 24 ] //: VDD g54 (w45) @(793,721) /sn:0 /w:[ 0 ] //: joint g126 (w89) @(46, 770) /w:[ 2 4 -1 1 ] //: VDD g70 (w60) @(519,722) /sn:0 /w:[ 0 ] d g45 (._Preset(w45), ._Clear(w11), .Clk(Clk), .D(w18), .Q(w36), ._Q(w35)); //: @(743, 745) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>3 Ri0>3 Ri1>1 Lo0<3 Lo1<0 ] //: joint g90 (br) @(444, 656) /w:[ 8 -1 7 26 ] _GGAND2 #(6) g46 (.I0(w9), .I1(w36), .Z(w44)); //: @(827,699) /sn:0 /R:3 /w:[ 17 0 0 ] assign data = {w96, w78, w89, w72, w61, w54, w53, w36}; //: CONCAT g120 @(697,997) /sn:0 /R:3 /w:[ 5 0 0 0 0 0 0 5 5 ] /dr:1 /tp:0 /drp:1 //: joint g114 (w11) @(236, 849) /w:[ 17 18 20 -1 ] _GGOR2 #(6) g97 (.I0(w82), .I1(w81), .Z(w67)); //: @(16,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g66 (w9) @(693, 632) /w:[ 12 -1 11 18 ] d g82 (._Preset(w70), ._Clear(w11), .Clk(Clk), .D(w71), .Q(w72), ._Q(w73)); //: @(195, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>19 Ri0>19 Ri1>1 Lo0<3 Lo1<0 ] //: joint g128 (w96) @(-228, 770) /w:[ 2 4 -1 1 ] //: joint g108 (Clk) @(15, 893) /w:[ 25 26 28 -1 ] //: joint g91 (w9) @(419, 632) /w:[ 8 -1 7 22 ] //: joint g118 (w9) @(7, 632) /w:[ 2 -1 1 28 ] //: joint g134 (bus) @(697, 1111) /w:[ 1 2 -1 4 ] //: IN g49 (br) @(986,656) /sn:0 /R:2 /w:[ 17 ] endmodule //: /netlistEnd //: /netlistBegin oscillator2 module oscillator2(); //: interface /sz:(40, 40) /bd:[ ] /pd: 0 /pi: 0 /pe: 1 /pp: 1 wire clk; //: {0}(20:440,1160)(494,1160){1} //: enddecls _GGCLOCK_P200_0_50 g1 (.Z(clk)); //: @(427,1160) /sn:0 /w:[ 0 ] /omega:200 /phi:0 /duty:50 endmodule //: /netlistEnd //: /netlistBegin B module B(Clr, bw, Clk, data, bus, br); //: interface /sz:(80, 80) /bd:[ Ti0>bw(66/80) Ti1>br(14/80) Li0>Clk(38/80) Ri0>Clr(37/80) To01 Bi0>15 Ri0>15 Ri1>1 Lo0<3 Lo1<0 ] //: joint g51 (br) @(898, 656) /w:[ 16 18 15 -1 ] _GGOR2 #(6) g58 (.I0(w48), .I1(w46), .Z(w27)); //: @(702,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: IN g55 (Clr) @(986,849) /sn:0 /R:2 /w:[ 1 ] //: joint g89 (w11) @(510, 849) /w:[ 9 10 12 -1 ] //: joint g112 (Clk) @(289, 893) /w:[ 17 18 20 -1 ] _GGAND2 #(6) g110 (.I0(w9), .I1(w96), .Z(w93)); //: @(-133,700) /sn:0 /R:3 /w:[ 0 5 0 ] _GGOR2 #(6) g77 (.I0(w64), .I1(w63), .Z(w65)); //: @(428,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g65 (br) @(718, 656) /w:[ 12 -1 11 22 ] //: VDD g111 (w87) @(107,722) /sn:0 /w:[ 0 ] _GGAND2 #(6) g76 (.I0(w9), .I1(w61), .Z(w63)); //: @(416,700) /sn:0 /R:3 /w:[ 23 5 0 ] _GGAND2 #(6) g59 (.I0(br), .I1(w50), .Z(w48)); //: @(715,700) /sn:0 /R:3 /w:[ 23 1 0 ] //: joint g72 (w11) @(647, 849) /w:[ 5 6 8 -1 ] //: VDD g99 (w84) @(-167,722) /sn:0 /w:[ 0 ] _GGAND2 #(6) g98 (.I0(br), .I1(w83), .Z(w82)); //: @(29,700) /sn:0 /R:3 /w:[ 33 1 0 ] //: joint g64 (Clk) @(839, 893) /w:[ 1 2 4 -1 ] _GGAND2 #(6) g96 (.I0(w9), .I1(w78), .Z(w81)); //: @(4,700) /sn:0 /R:3 /w:[ 29 5 0 ] //: joint g122 (w53) @(595, 770) /w:[ 2 1 -1 4 ] _GGOR2 #(6) g103 (.I0(w86), .I1(w91), .Z(w88)); //: @(153,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g121 (w36) @(732, 769) /w:[ 2 1 -1 4 ] //: IN g130 (bw) @(986,1064) /sn:0 /R:2 /w:[ 0 ] //: VDD g87 (w70) @(245,722) /sn:0 /w:[ 0 ] _GGAND2 #(6) g78 (.I0(br), .I1(w66), .Z(w64)); //: @(441,700) /sn:0 /R:3 /w:[ 27 1 0 ] _GGNBUF #(2) g50 (.I(br), .Z(w9)); //: @(872,632) /sn:0 /R:2 /w:[ 19 15 ] _GGBUFIF8 #(4, 6) g129 (.Z(bus), .I(data), .E(bw)); //: @(697,1062) /sn:0 /R:3 /w:[ 3 0 1 ] d g113 (._Preset(w84), ._Clear(w11), .Clk(Clk), .D(w94), .Q(w96), ._Q(w97)); //: @(-217, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>29 Ri0>29 Ri1>1 Lo0<3 Lo1<0 ] //: joint g132 (data) @(697, 1027) /w:[ 2 4 -1 1 ] d g102 (._Preset(w87), ._Clear(w11), .Clk(Clk), .D(w88), .Q(w89), ._Q(w90)); //: @(57, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>23 Ri0>23 Ri1>1 Lo0<3 Lo1<0 ] _GGAND2 #(6) g69 (.I0(br), .I1(w59), .Z(w57)); //: @(578,700) /sn:0 /R:3 /w:[ 25 1 0 ] //: joint g115 (br) @(169, 656) /w:[ 4 -1 3 30 ] assign {w95, w83, w85, w68, w66, w59, w50, w49} = bus; //: CONCAT g119 @(901,544) /sn:0 /w:[ 0 0 0 0 0 0 0 0 0 ] /dr:0 /tp:0 /drp:0 //: IN g57 (Clk) @(986,893) /sn:0 /R:2 /w:[ 0 ] //: joint g75 (w9) @(556, 632) /w:[ 10 -1 9 20 ] //: joint g53 (w9) @(830, 632) /w:[ 14 -1 13 16 ] d g71 (._Preset(w60), ._Clear(w11), .Clk(Clk), .D(w58), .Q(w54), ._Q(w62)); //: @(469, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>11 Ri0>11 Ri1>1 Lo0<3 Lo1<0 ] //: joint g124 (w61) @(321, 770) /w:[ 2 4 -1 1 ] //: joint g127 (w78) @(-91, 770) /w:[ 2 4 -1 1 ] _GGAND2 #(6) g67 (.I0(w9), .I1(w54), .Z(w56)); //: @(553,700) /sn:0 /R:3 /w:[ 21 5 0 ] //: OUT g131 (data) @(986,1027) /sn:0 /w:[ 3 ] _GGOR2 #(6) g68 (.I0(w57), .I1(w56), .Z(w58)); //: @(565,745) /sn:0 /R:3 /w:[ 1 1 0 ] _GGOR2 #(6) g48 (.I0(w47), .I1(w44), .Z(w18)); //: @(839,744) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g104 (Clk) @(151, 893) /w:[ 21 22 24 -1 ] //: joint g88 (Clk) @(563, 893) /w:[ 9 10 12 -1 ] //: joint g73 (Clk) @(701, 893) /w:[ 5 6 8 -1 ] //: joint g62 (w11) @(784, 849) /w:[ 1 2 4 -1 ] d g63 (._Preset(w51), ._Clear(w11), .Clk(Clk), .D(w27), .Q(w53), ._Q(w55)); //: @(606, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>7 Ri0>7 Ri1>1 Lo0<3 Lo1<0 ] _GGAND2 #(6) g107 (.I0(br), .I1(w95), .Z(w92)); //: @(-108,700) /sn:0 /R:3 /w:[ 0 1 0 ] //: joint g106 (w11) @(-39, 849) /w:[ 25 26 28 -1 ] //: joint g52 (br) @(855, 656) /w:[ 14 -1 13 20 ] _GGOR2 #(6) g83 (.I0(w69), .I1(w74), .Z(w71)); //: @(291,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: VDD g109 (w77) @(-30,722) /sn:0 /w:[ 0 ] //: joint g74 (br) @(581, 656) /w:[ 10 -1 9 24 ] _GGAND2 #(6) g100 (.I0(br), .I1(w85), .Z(w86)); //: @(166,700) /sn:0 /R:3 /w:[ 31 1 0 ] _GGNBUF #(2) g56 (.I(Clr), .Z(w11)); //: @(920,849) /sn:0 /R:2 /w:[ 0 0 ] //: INOUT g133 (bus) @(697,1147) /sn:0 /R:1 /w:[ 5 ] //: joint g117 (br) @(32, 656) /w:[ 2 -1 1 32 ] d g95 (._Preset(w77), ._Clear(w11), .Clk(Clk), .D(w67), .Q(w78), ._Q(w79)); //: @(-80, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>27 Ri0>27 Ri1>1 Lo0<3 Lo1<0 ] //: joint g79 (w11) @(373, 849) /w:[ 13 14 16 -1 ] //: joint g94 (w11) @(98, 849) /w:[ 21 22 24 -1 ] _GGAND2 #(6) g80 (.I0(br), .I1(w68), .Z(w69)); //: @(304,700) /sn:0 /R:3 /w:[ 29 1 0 ] _GGAND2 #(6) g47 (.I0(br), .I1(w49), .Z(w47)); //: @(852,699) /sn:0 /R:3 /w:[ 21 1 0 ] //: joint g92 (br) @(307, 656) /w:[ 6 -1 5 28 ] //: VDD g85 (w75) @(382,722) /sn:0 /w:[ 0 ] _GGOR2 #(6) g105 (.I0(w92), .I1(w93), .Z(w94)); //: @(-121,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g84 (Clk) @(427, 893) /w:[ 13 14 16 -1 ] //: joint g125 (w72) @(184, 770) /w:[ 2 4 -1 1 ] _GGAND2 #(6) g101 (.I0(w9), .I1(w89), .Z(w91)); //: @(141,700) /sn:0 /R:3 /w:[ 27 5 0 ] _GGAND2 #(6) g81 (.I0(w9), .I1(w72), .Z(w74)); //: @(279,700) /sn:0 /R:3 /w:[ 25 5 0 ] //: VDD g60 (w51) @(656,722) /sn:0 /w:[ 0 ] //: joint g123 (w54) @(458, 770) /w:[ 2 4 -1 1 ] //: joint g116 (w9) @(144, 632) /w:[ 4 -1 3 26 ] //: joint g93 (w9) @(282, 632) /w:[ 6 -1 5 24 ] //: VDD g54 (w45) @(793,721) /sn:0 /w:[ 0 ] //: joint g126 (w89) @(46, 770) /w:[ 2 4 -1 1 ] //: VDD g70 (w60) @(519,722) /sn:0 /w:[ 0 ] d g45 (._Preset(w45), ._Clear(w11), .Clk(Clk), .D(w18), .Q(w36), ._Q(w35)); //: @(743, 745) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>3 Ri0>3 Ri1>1 Lo0<3 Lo1<0 ] //: joint g90 (br) @(444, 656) /w:[ 8 -1 7 26 ] _GGAND2 #(6) g46 (.I0(w9), .I1(w36), .Z(w44)); //: @(827,699) /sn:0 /R:3 /w:[ 17 0 0 ] assign data = {w96, w78, w89, w72, w61, w54, w53, w36}; //: CONCAT g120 @(697,997) /sn:0 /R:3 /w:[ 5 0 0 0 0 0 0 5 5 ] /dr:1 /tp:0 /drp:1 //: joint g114 (w11) @(236, 849) /w:[ 17 18 20 -1 ] _GGOR2 #(6) g97 (.I0(w82), .I1(w81), .Z(w67)); //: @(16,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g66 (w9) @(693, 632) /w:[ 12 -1 11 18 ] d g82 (._Preset(w70), ._Clear(w11), .Clk(Clk), .D(w71), .Q(w72), ._Q(w73)); //: @(195, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>19 Ri0>19 Ri1>1 Lo0<3 Lo1<0 ] //: joint g128 (w96) @(-228, 770) /w:[ 2 4 -1 1 ] //: joint g108 (Clk) @(15, 893) /w:[ 25 26 28 -1 ] //: joint g91 (w9) @(419, 632) /w:[ 8 -1 7 22 ] //: joint g118 (w9) @(7, 632) /w:[ 2 -1 1 28 ] //: joint g134 (bus) @(697, 1111) /w:[ 1 2 -1 4 ] //: IN g49 (br) @(986,656) /sn:0 /R:2 /w:[ 17 ] endmodule //: /netlistEnd //: /netlistBegin regB module regB(Clk, value, bR, bus, bW); //: interface /sz:(87, 73) /bd:[ Ti0>bR(12/87) Ti1>bW(72/87) Li0>Clk(33/73) To01 Li0>17 Li1>1 Bi0>0 Ro0<0 Ro1<1 ] _GGAND2 #(6) g4 (.I0(w1), .I1(w60), .Z(w12)); //: @(388,231) /sn:0 /R:3 /w:[ 0 17 0 ] //: joint g8 (bR) @(322, 197) /w:[ 15 16 18 20 ] //: joint g86 (w69) @(1543, 301) /w:[ 1 2 4 -1 ] //: VDD g58 (w61) @(1353,253) /sn:0 /w:[ 0 ] _GGOR2 #(6) g55 (.I0(w56), .I1(w57), .Z(w58)); //: @(1281,276) /sn:0 /R:3 /w:[ 1 1 0 ] _GGOR2 #(6) g51 (.I0(w47), .I1(w48), .Z(w49)); //: @(1127,275) /sn:0 /R:3 /w:[ 1 1 0 ] _GGAND2 #(6) g3 (.I0(w14), .I1(bR), .Z(w13)); //: @(363,231) /sn:0 /R:3 /w:[ 1 23 0 ] _GGOR2 #(6) g13 (.I0(w22), .I1(w24), .Z(w2)); //: @(521,278) /sn:0 /R:3 /w:[ 1 1 0 ] //: IN g34 (Clk) @(220,417) /sn:0 /w:[ 0 ] //: joint g37 (Clk) @(681, 417) /w:[ 6 24 5 -1 ] //: VDD g65 (w74) @(1331,385) /sn:0 /R:2 /w:[ 1 ] _GGOR2 #(6) g2 (.I0(w12), .I1(w13), .Z(w0)); //: @(375,276) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g76 (bR) @(1113, 197) /w:[ 3 -1 4 32 ] //: joint g77 (bR) @(1267, 197) /w:[ 1 -1 2 34 ] _GGAND2 #(6) g59 (.I0(w62), .I1(bR), .Z(w57)); //: @(1269,231) /sn:0 /R:3 /w:[ 0 35 0 ] //: VDD g72 (w82) @(426,385) /sn:0 /R:2 /w:[ 0 ] D g1 (._Preset(w32), .Clk(Clk), .D(w2), ._Clear(w81), .Q(w7), ._Q(w10)); //: @(544, 286) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>27 Li1>1 Bi0>0 Ro0<5 Ro1<1 ] _GGAND2 #(6) g64 (.I0(w72), .I1(bR), .Z(w67)); //: @(1420,230) /sn:0 /R:3 /w:[ 0 0 0 ] _GGAND2 #(6) g11 (.I0(w7), .I1(w60), .Z(w22)); //: @(534,233) /sn:0 /R:3 /w:[ 0 19 0 ] _GGOR2 #(6) g16 (.I0(w25), .I1(w26), .Z(w15)); //: @(671,276) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g87 (value) @(536, 568) /w:[ 1 2 -1 4 ] _GGOR2 #(6) g50 (.I0(w27), .I1(w35), .Z(w36)); //: @(978,276) /sn:0 /R:3 /w:[ 1 1 0 ] assign {w72, w62, w52, w18, w16, w19, w17, w14} = bus; //: CONCAT g10 @(401,54) /sn:0 /R:1 /w:[ 1 1 1 1 0 1 1 0 5 ] /dr:1 /tp:1 /drp:0 //: VDD g28 (w40) @(743,253) /sn:0 /w:[ 0 ] //: joint g78 (w60) @(989, 178) /w:[ 5 -1 6 24 ] //: joint g32 (Clk) @(1295, 417) /w:[ 14 16 13 -1 ] _GGAND2 #(6) g19 (.I0(w19), .I1(bR), .Z(w26)); //: @(659,231) /sn:0 /R:3 /w:[ 0 27 0 ] //: VDD g27 (w32) @(593,255) /sn:0 /w:[ 0 ] //: VDD g69 (w79) @(877,381) /sn:0 /R:2 /w:[ 1 ] //: joint g6 (bR) @(361, 197) /w:[ 13 -1 14 22 ] assign value = {w69, w59, w50, w44, w37, w29, w7, w1}; //: CONCAT g38 @(536,546) /sn:0 /R:3 /w:[ 3 0 3 0 3 0 0 3 3 ] /dr:0 /tp:0 /drp:1 _GGAND2 #(6) g57 (.I0(w59), .I1(w60), .Z(w56)); //: @(1294,231) /sn:0 /R:3 /w:[ 5 29 0 ] //: VDD g53 (w51) @(1199,252) /sn:0 /w:[ 0 ] _GGNBUF #(2) g7 (.I(bR), .Z(w60)); //: @(336,178) /sn:0 /w:[ 17 15 ] //: joint g9 (w60) @(386, 178) /w:[ 13 -1 14 16 ] //: joint g75 (bR) @(964, 197) /w:[ 5 -1 6 30 ] //: VDD g71 (w81) @(571,388) /sn:0 /R:2 /w:[ 1 ] //: joint g31 (Clk) @(1136, 417) /w:[ 12 18 11 -1 ] //: joint g15 (w60) @(532, 178) /w:[ 11 -1 12 18 ] //: joint g20 (bR) @(657, 197) /w:[ 9 -1 10 26 ] //: VDD g68 (w78) @(1029,381) /sn:0 /R:2 /w:[ 1 ] //: VDD g67 (w77) @(1177,384) /sn:0 /R:2 /w:[ 1 ] //: joint g39 (w1) @(484, 303) /w:[ 2 1 4 -1 ] //: joint g43 (w44) @(1090, 301) /w:[ 2 4 1 -1 ] _GGAND2 #(6) g48 (.I0(w44), .I1(w60), .Z(w27)); //: @(991,231) /sn:0 /R:3 /w:[ 5 25 0 ] //: OUT g88 (value) @(718,606) /sn:0 /R:3 /w:[ 0 ] //: joint g73 (w50) @(1245, 301) /w:[ 1 2 4 -1 ] _GGAND2 #(6) g62 (.I0(w69), .I1(w60), .Z(w66)); //: @(1445,230) /sn:0 /R:3 /w:[ 3 0 0 ] _GGAND2 #(6) g17 (.I0(w29), .I1(w60), .Z(w25)); //: @(684,231) /sn:0 /R:3 /w:[ 3 21 0 ] _GGAND2 #(6) g25 (.I0(w16), .I1(bR), .Z(w31)); //: @(815,231) /sn:0 /R:3 /w:[ 1 29 0 ] //: VDD g29 (w41) @(899,253) /sn:0 /w:[ 0 ] //: VDD g63 (w71) @(1504,252) /sn:0 /w:[ 0 ] _GGAND2 #(6) g52 (.I0(w50), .I1(w60), .Z(w47)); //: @(1140,230) /sn:0 /R:3 /w:[ 3 27 0 ] //: joint g42 (w37) @(938, 302) /w:[ 1 2 4 -1 ] _GGAND2 #(6) g83 (.I0(!bR), .I1(bW), .Z(w6)); //: @(350,582) /sn:0 /w:[ 21 0 0 ] //: joint g74 (bR) @(813, 197) /w:[ 7 -1 8 28 ] D g56 (._Preset(w51), .Clk(Clk), .D(w49), ._Clear(w77), .Q(w50), ._Q(w55)); //: @(1150, 283) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>19 Li1>1 Bi0>0 Ro0<5 Ro1<1 ] //: IN g5 (bR) @(220,197) /sn:0 /w:[ 19 ] //: joint g14 (bR) @(507, 197) /w:[ 11 -1 12 24 ] //: VDD g47 (w76) @(1482,387) /sn:0 /R:2 /w:[ 0 ] //: joint g44 (w60) @(838, 178) /w:[ 7 -1 8 22 ] //: joint g79 (w60) @(1138, 178) /w:[ 3 -1 4 26 ] //: joint g80 (w60) @(1292, 178) /w:[ 1 -1 2 28 ] //: joint g84 (w59) @(1392, 302) /w:[ 2 4 1 -1 ] //: joint g21 (w60) @(682, 178) /w:[ 9 -1 10 20 ] D g24 (._Preset(w41), .Clk(Clk), .D(w33), ._Clear(w79), .Q(w37), ._Q(w38)); //: @(850, 284) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>23 Li1>1 Bi0>0 Ro0<5 Ro1<1 ] //: joint g36 (Clk) @(526, 417) /w:[ 4 26 3 -1 ] //: joint g85 (bus) @(401, 32) /w:[ -1 1 2 4 ] _GGAND2 #(6) g23 (.I0(w37), .I1(w60), .Z(w23)); //: @(840,231) /sn:0 /R:3 /w:[ 3 23 0 ] //: joint g41 (w29) @(782, 302) /w:[ 1 2 4 -1 ] _GGBUFIF8 #(4, 6) g81 (.Z(bus), .I(value), .E(w6)); //: @(536,605) /sn:0 /R:3 /w:[ 3 5 1 ] _GGOR2 #(6) g60 (.I0(w66), .I1(w67), .Z(w68)); //: @(1432,275) /sn:0 /R:3 /w:[ 1 1 0 ] _GGAND2 #(6) g54 (.I0(w52), .I1(bR), .Z(w48)); //: @(1115,230) /sn:0 /R:3 /w:[ 0 33 0 ] //: joint g40 (w7) @(632, 304) /w:[ 2 1 4 -1 ] //: VDD g70 (w80) @(721,394) /sn:0 /R:2 /w:[ 0 ] _GGAND2 #(6) g46 (.I0(w18), .I1(bR), .Z(w35)); //: @(966,231) /sn:0 /R:3 /w:[ 0 31 0 ] //: VDD g45 (w43) @(1050,253) /sn:0 /w:[ 0 ] D g0 (._Preset(w20), .Clk(Clk), .D(w0), ._Clear(w82), .Q(w1), ._Q(w4)); //: @(399, 285) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>29 Li1>1 Bi0>1 Ro0<5 Ro1<1 ] _GGOR2 #(6) g22 (.I0(w23), .I1(w31), .Z(w33)); //: @(827,276) /sn:0 /R:3 /w:[ 1 1 0 ] //: VDD g26 (w20) @(448,253) /sn:0 /w:[ 0 ] //: joint g35 (Clk) @(381, 417) /w:[ 2 28 1 -1 ] //: INOUT g90 (bus) @(401,-5) /sn:0 /R:3 /w:[ 0 ] //: IN g82 (bW) @(220,584) /sn:0 /w:[ 1 ] D g66 (._Preset(w71), .Clk(Clk), .D(w68), ._Clear(w76), .Q(w69), ._Q(w75)); //: @(1455, 283) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>15 Li1>1 Bi0>1 Ro0<5 Ro1<1 ] _GGAND2 #(6) g12 (.I0(w17), .I1(bR), .Z(w24)); //: @(509,233) /sn:0 /R:3 /w:[ 0 25 0 ] D g18 (._Preset(w40), .Clk(Clk), .D(w15), ._Clear(w80), .Q(w29), ._Q(w30)); //: @(694, 284) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>25 Li1>1 Bi0>1 Ro0<5 Ro1<1 ] //: joint g33 (Clk) @(989, 417) /w:[ 10 20 9 -1 ] //: joint g30 (Clk) @(834, 417) /w:[ 8 22 7 -1 ] D g49 (._Preset(w43), .Clk(Clk), .D(w36), ._Clear(w78), .Q(w44), ._Q(w46)); //: @(1002, 283) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>21 Li1>1 Bi0>0 Ro0<0 Ro1<1 ] endmodule //: /netlistEnd //: /netlistBegin regC module regC(Clk, value, bR, bus, bW); //: interface /sz:(86, 56) /bd:[ Ti0>bW(71/86) Ti1>bR(15/86) Li0>Clk(27/56) To01 Li0>17 Li1>1 Bi0>0 Ro0<0 Ro1<1 ] _GGAND2 #(6) g4 (.I0(w1), .I1(w60), .Z(w12)); //: @(388,231) /sn:0 /R:3 /w:[ 0 17 0 ] //: joint g8 (bR) @(322, 197) /w:[ 15 16 18 20 ] //: joint g86 (w69) @(1543, 301) /w:[ 1 2 4 -1 ] //: VDD g58 (w61) @(1353,253) /sn:0 /w:[ 0 ] _GGOR2 #(6) g55 (.I0(w56), .I1(w57), .Z(w58)); //: @(1281,276) /sn:0 /R:3 /w:[ 1 1 0 ] _GGOR2 #(6) g51 (.I0(w47), .I1(w48), .Z(w49)); //: @(1127,275) /sn:0 /R:3 /w:[ 1 1 0 ] _GGAND2 #(6) g3 (.I0(w14), .I1(bR), .Z(w13)); //: @(363,231) /sn:0 /R:3 /w:[ 1 23 0 ] _GGOR2 #(6) g13 (.I0(w22), .I1(w24), .Z(w2)); //: @(521,278) /sn:0 /R:3 /w:[ 1 1 0 ] //: IN g34 (Clk) @(220,417) /sn:0 /w:[ 0 ] //: joint g37 (Clk) @(681, 417) /w:[ 6 24 5 -1 ] //: VDD g65 (w74) @(1331,385) /sn:0 /R:2 /w:[ 1 ] _GGOR2 #(6) g2 (.I0(w12), .I1(w13), .Z(w0)); //: @(375,276) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g76 (bR) @(1113, 197) /w:[ 3 -1 4 32 ] //: joint g77 (bR) @(1267, 197) /w:[ 1 -1 2 34 ] _GGAND2 #(6) g59 (.I0(w62), .I1(bR), .Z(w57)); //: @(1269,231) /sn:0 /R:3 /w:[ 0 35 0 ] //: VDD g72 (w82) @(426,385) /sn:0 /R:2 /w:[ 0 ] D g1 (._Preset(w32), .Clk(Clk), .D(w2), ._Clear(w81), .Q(w7), ._Q(w10)); //: @(544, 286) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>27 Li1>1 Bi0>0 Ro0<5 Ro1<1 ] _GGAND2 #(6) g64 (.I0(w72), .I1(bR), .Z(w67)); //: @(1420,230) /sn:0 /R:3 /w:[ 0 0 0 ] _GGAND2 #(6) g11 (.I0(w7), .I1(w60), .Z(w22)); //: @(534,233) /sn:0 /R:3 /w:[ 0 19 0 ] _GGOR2 #(6) g16 (.I0(w25), .I1(w26), .Z(w15)); //: @(671,276) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g87 (value) @(536, 563) /w:[ 1 2 -1 4 ] _GGOR2 #(6) g50 (.I0(w27), .I1(w35), .Z(w36)); //: @(978,276) /sn:0 /R:3 /w:[ 1 1 0 ] assign {w72, w62, w52, w18, w16, w19, w17, w14} = bus; //: CONCAT g10 @(401,54) /sn:0 /R:1 /w:[ 1 1 1 1 0 1 1 0 5 ] /dr:1 /tp:1 /drp:0 //: VDD g28 (w40) @(743,253) /sn:0 /w:[ 0 ] //: joint g78 (w60) @(989, 178) /w:[ 5 -1 6 24 ] //: joint g32 (Clk) @(1295, 417) /w:[ 14 16 13 -1 ] _GGAND2 #(6) g19 (.I0(w19), .I1(bR), .Z(w26)); //: @(659,231) /sn:0 /R:3 /w:[ 0 27 0 ] //: VDD g27 (w32) @(593,255) /sn:0 /w:[ 0 ] //: VDD g69 (w79) @(877,381) /sn:0 /R:2 /w:[ 1 ] //: joint g6 (bR) @(361, 197) /w:[ 13 -1 14 22 ] assign value = {w69, w59, w50, w44, w37, w29, w7, w1}; //: CONCAT g38 @(536,546) /sn:0 /R:3 /w:[ 3 0 3 0 3 0 0 3 3 ] /dr:0 /tp:0 /drp:1 _GGAND2 #(6) g57 (.I0(w59), .I1(w60), .Z(w56)); //: @(1294,231) /sn:0 /R:3 /w:[ 5 29 0 ] //: VDD g53 (w51) @(1199,252) /sn:0 /w:[ 0 ] _GGNBUF #(2) g7 (.I(bR), .Z(w60)); //: @(336,178) /sn:0 /w:[ 17 15 ] //: joint g9 (w60) @(386, 178) /w:[ 13 -1 14 16 ] //: joint g75 (bR) @(964, 197) /w:[ 5 -1 6 30 ] //: VDD g71 (w81) @(571,388) /sn:0 /R:2 /w:[ 1 ] //: joint g31 (Clk) @(1136, 417) /w:[ 12 18 11 -1 ] //: joint g15 (w60) @(532, 178) /w:[ 11 -1 12 18 ] //: joint g20 (bR) @(657, 197) /w:[ 9 -1 10 26 ] //: VDD g68 (w78) @(1029,381) /sn:0 /R:2 /w:[ 1 ] //: VDD g67 (w77) @(1177,384) /sn:0 /R:2 /w:[ 1 ] //: joint g39 (w1) @(484, 303) /w:[ 2 1 4 -1 ] //: joint g43 (w44) @(1090, 301) /w:[ 2 4 1 -1 ] _GGAND2 #(6) g48 (.I0(w44), .I1(w60), .Z(w27)); //: @(991,231) /sn:0 /R:3 /w:[ 5 25 0 ] //: OUT g88 (value) @(745,563) /sn:0 /w:[ 0 ] //: joint g73 (w50) @(1245, 301) /w:[ 1 2 4 -1 ] _GGAND2 #(6) g62 (.I0(w69), .I1(w60), .Z(w66)); //: @(1445,230) /sn:0 /R:3 /w:[ 3 0 0 ] _GGAND2 #(6) g17 (.I0(w29), .I1(w60), .Z(w25)); //: @(684,231) /sn:0 /R:3 /w:[ 3 21 0 ] _GGAND2 #(6) g25 (.I0(w16), .I1(bR), .Z(w31)); //: @(815,231) /sn:0 /R:3 /w:[ 1 29 0 ] //: VDD g29 (w41) @(899,253) /sn:0 /w:[ 0 ] //: VDD g63 (w71) @(1504,252) /sn:0 /w:[ 0 ] _GGAND2 #(6) g52 (.I0(w50), .I1(w60), .Z(w47)); //: @(1140,230) /sn:0 /R:3 /w:[ 3 27 0 ] //: joint g42 (w37) @(938, 302) /w:[ 1 2 4 -1 ] _GGAND2 #(6) g83 (.I0(!bR), .I1(bW), .Z(w6)); //: @(350,582) /sn:0 /w:[ 21 0 0 ] //: joint g74 (bR) @(813, 197) /w:[ 7 -1 8 28 ] D g56 (._Preset(w51), .Clk(Clk), .D(w49), ._Clear(w77), .Q(w50), ._Q(w55)); //: @(1150, 283) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>19 Li1>1 Bi0>0 Ro0<5 Ro1<1 ] //: IN g5 (bR) @(220,197) /sn:0 /w:[ 19 ] //: joint g14 (bR) @(507, 197) /w:[ 11 -1 12 24 ] //: VDD g47 (w76) @(1482,387) /sn:0 /R:2 /w:[ 0 ] //: joint g44 (w60) @(838, 178) /w:[ 7 -1 8 22 ] //: joint g79 (w60) @(1138, 178) /w:[ 3 -1 4 26 ] //: joint g80 (w60) @(1292, 178) /w:[ 1 -1 2 28 ] //: joint g84 (w59) @(1392, 302) /w:[ 2 4 1 -1 ] //: joint g21 (w60) @(682, 178) /w:[ 9 -1 10 20 ] D g24 (._Preset(w41), .Clk(Clk), .D(w33), ._Clear(w79), .Q(w37), ._Q(w38)); //: @(850, 284) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>23 Li1>1 Bi0>0 Ro0<5 Ro1<1 ] //: joint g36 (Clk) @(526, 417) /w:[ 4 26 3 -1 ] //: joint g85 (bus) @(401, 32) /w:[ -1 1 2 4 ] _GGAND2 #(6) g23 (.I0(w37), .I1(w60), .Z(w23)); //: @(840,231) /sn:0 /R:3 /w:[ 3 23 0 ] //: joint g41 (w29) @(782, 302) /w:[ 1 2 4 -1 ] _GGBUFIF8 #(4, 6) g81 (.Z(bus), .I(value), .E(w6)); //: @(536,605) /sn:0 /R:3 /w:[ 3 5 1 ] _GGOR2 #(6) g60 (.I0(w66), .I1(w67), .Z(w68)); //: @(1432,275) /sn:0 /R:3 /w:[ 1 1 0 ] _GGAND2 #(6) g54 (.I0(w52), .I1(bR), .Z(w48)); //: @(1115,230) /sn:0 /R:3 /w:[ 0 33 0 ] //: joint g40 (w7) @(632, 304) /w:[ 2 1 4 -1 ] //: VDD g70 (w80) @(721,394) /sn:0 /R:2 /w:[ 0 ] _GGAND2 #(6) g46 (.I0(w18), .I1(bR), .Z(w35)); //: @(966,231) /sn:0 /R:3 /w:[ 0 31 0 ] //: VDD g45 (w43) @(1050,253) /sn:0 /w:[ 0 ] D g0 (._Preset(w20), .Clk(Clk), .D(w0), ._Clear(w82), .Q(w1), ._Q(w4)); //: @(399, 285) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>29 Li1>1 Bi0>1 Ro0<5 Ro1<1 ] _GGOR2 #(6) g22 (.I0(w23), .I1(w31), .Z(w33)); //: @(827,276) /sn:0 /R:3 /w:[ 1 1 0 ] //: VDD g26 (w20) @(448,253) /sn:0 /w:[ 0 ] //: joint g35 (Clk) @(381, 417) /w:[ 2 28 1 -1 ] //: INOUT g90 (bus) @(401,-5) /sn:0 /R:3 /w:[ 0 ] //: IN g82 (bW) @(220,584) /sn:0 /w:[ 1 ] D g66 (._Preset(w71), .Clk(Clk), .D(w68), ._Clear(w76), .Q(w69), ._Q(w75)); //: @(1455, 283) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>15 Li1>1 Bi0>1 Ro0<5 Ro1<1 ] _GGAND2 #(6) g12 (.I0(w17), .I1(bR), .Z(w24)); //: @(509,233) /sn:0 /R:3 /w:[ 0 25 0 ] D g18 (._Preset(w40), .Clk(Clk), .D(w15), ._Clear(w80), .Q(w29), ._Q(w30)); //: @(694, 284) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>25 Li1>1 Bi0>1 Ro0<5 Ro1<1 ] //: joint g33 (Clk) @(989, 417) /w:[ 10 20 9 -1 ] //: joint g30 (Clk) @(834, 417) /w:[ 8 22 7 -1 ] D g49 (._Preset(w43), .Clk(Clk), .D(w36), ._Clear(w78), .Q(w44), ._Q(w46)); //: @(1002, 283) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>21 Li1>1 Bi0>0 Ro0<0 Ro1<1 ] endmodule //: /netlistEnd //: /netlistBegin IR module IR(Clr, bw, Clk, data, bus, br); //: interface /sz:(80, 80) /bd:[ Ti0>bw(66/80) Ti1>br(14/80) Li0>Clk(38/80) Ri0>Clr(37/80) To01 Bi0>15 Ri0>15 Ri1>1 Lo0<3 Lo1<0 ] //: joint g51 (br) @(898, 656) /w:[ 16 18 15 -1 ] _GGOR2 #(6) g58 (.I0(w48), .I1(w46), .Z(w27)); //: @(702,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: IN g55 (Clr) @(986,849) /sn:0 /R:2 /w:[ 1 ] //: joint g89 (w11) @(510, 849) /w:[ 9 10 12 -1 ] //: joint g112 (Clk) @(289, 893) /w:[ 17 18 20 -1 ] _GGAND2 #(6) g110 (.I0(w9), .I1(w96), .Z(w93)); //: @(-133,700) /sn:0 /R:3 /w:[ 0 5 0 ] _GGOR2 #(6) g77 (.I0(w64), .I1(w63), .Z(w65)); //: @(428,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g65 (br) @(718, 656) /w:[ 12 -1 11 22 ] //: VDD g111 (w87) @(107,722) /sn:0 /w:[ 0 ] _GGAND2 #(6) g76 (.I0(w9), .I1(w61), .Z(w63)); //: @(416,700) /sn:0 /R:3 /w:[ 23 5 0 ] _GGAND2 #(6) g59 (.I0(br), .I1(w50), .Z(w48)); //: @(715,700) /sn:0 /R:3 /w:[ 23 1 0 ] //: joint g72 (w11) @(647, 849) /w:[ 5 6 8 -1 ] //: VDD g99 (w84) @(-167,722) /sn:0 /w:[ 0 ] _GGAND2 #(6) g98 (.I0(br), .I1(w83), .Z(w82)); //: @(29,700) /sn:0 /R:3 /w:[ 33 1 0 ] //: joint g64 (Clk) @(839, 893) /w:[ 1 2 4 -1 ] _GGAND2 #(6) g96 (.I0(w9), .I1(w78), .Z(w81)); //: @(4,700) /sn:0 /R:3 /w:[ 29 5 0 ] //: joint g122 (w53) @(595, 770) /w:[ 2 1 -1 4 ] _GGOR2 #(6) g103 (.I0(w86), .I1(w91), .Z(w88)); //: @(153,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g121 (w36) @(732, 769) /w:[ 2 1 -1 4 ] //: IN g130 (bw) @(986,1064) /sn:0 /R:2 /w:[ 0 ] //: VDD g87 (w70) @(245,722) /sn:0 /w:[ 0 ] _GGAND2 #(6) g78 (.I0(br), .I1(w66), .Z(w64)); //: @(441,700) /sn:0 /R:3 /w:[ 27 1 0 ] _GGNBUF #(2) g50 (.I(br), .Z(w9)); //: @(872,632) /sn:0 /R:2 /w:[ 19 15 ] _GGBUFIF8 #(4, 6) g129 (.Z(bus), .I(data), .E(bw)); //: @(697,1062) /sn:0 /R:3 /w:[ 3 0 1 ] d g113 (._Preset(w84), ._Clear(w11), .Clk(Clk), .D(w94), .Q(w96), ._Q(w97)); //: @(-217, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>29 Ri0>29 Ri1>1 Lo0<3 Lo1<0 ] //: joint g132 (data) @(697, 1027) /w:[ 2 4 -1 1 ] d g102 (._Preset(w87), ._Clear(w11), .Clk(Clk), .D(w88), .Q(w89), ._Q(w90)); //: @(57, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>23 Ri0>23 Ri1>1 Lo0<3 Lo1<0 ] _GGAND2 #(6) g69 (.I0(br), .I1(w59), .Z(w57)); //: @(578,700) /sn:0 /R:3 /w:[ 25 1 0 ] //: joint g115 (br) @(169, 656) /w:[ 4 -1 3 30 ] assign {w95, w83, w85, w68, w66, w59, w50, w49} = bus; //: CONCAT g119 @(901,544) /sn:0 /w:[ 0 0 0 0 0 0 0 0 0 ] /dr:0 /tp:0 /drp:0 //: IN g57 (Clk) @(986,893) /sn:0 /R:2 /w:[ 0 ] //: joint g75 (w9) @(556, 632) /w:[ 10 -1 9 20 ] //: joint g53 (w9) @(830, 632) /w:[ 14 -1 13 16 ] d g71 (._Preset(w60), ._Clear(w11), .Clk(Clk), .D(w58), .Q(w54), ._Q(w62)); //: @(469, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>11 Ri0>11 Ri1>1 Lo0<3 Lo1<0 ] //: joint g124 (w61) @(321, 770) /w:[ 2 4 -1 1 ] //: joint g127 (w78) @(-91, 770) /w:[ 2 4 -1 1 ] _GGAND2 #(6) g67 (.I0(w9), .I1(w54), .Z(w56)); //: @(553,700) /sn:0 /R:3 /w:[ 21 5 0 ] //: OUT g131 (data) @(986,1027) /sn:0 /w:[ 3 ] _GGOR2 #(6) g68 (.I0(w57), .I1(w56), .Z(w58)); //: @(565,745) /sn:0 /R:3 /w:[ 1 1 0 ] _GGOR2 #(6) g48 (.I0(w47), .I1(w44), .Z(w18)); //: @(839,744) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g104 (Clk) @(151, 893) /w:[ 21 22 24 -1 ] //: joint g88 (Clk) @(563, 893) /w:[ 9 10 12 -1 ] //: joint g73 (Clk) @(701, 893) /w:[ 5 6 8 -1 ] //: joint g62 (w11) @(784, 849) /w:[ 1 2 4 -1 ] d g63 (._Preset(w51), ._Clear(w11), .Clk(Clk), .D(w27), .Q(w53), ._Q(w55)); //: @(606, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>7 Ri0>7 Ri1>1 Lo0<3 Lo1<0 ] _GGAND2 #(6) g107 (.I0(br), .I1(w95), .Z(w92)); //: @(-108,700) /sn:0 /R:3 /w:[ 0 1 0 ] //: joint g106 (w11) @(-39, 849) /w:[ 25 26 28 -1 ] //: joint g52 (br) @(855, 656) /w:[ 14 -1 13 20 ] _GGOR2 #(6) g83 (.I0(w69), .I1(w74), .Z(w71)); //: @(291,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: VDD g109 (w77) @(-30,722) /sn:0 /w:[ 0 ] //: joint g74 (br) @(581, 656) /w:[ 10 -1 9 24 ] _GGAND2 #(6) g100 (.I0(br), .I1(w85), .Z(w86)); //: @(166,700) /sn:0 /R:3 /w:[ 31 1 0 ] _GGNBUF #(2) g56 (.I(Clr), .Z(w11)); //: @(920,849) /sn:0 /R:2 /w:[ 0 0 ] //: INOUT g133 (bus) @(697,1147) /sn:0 /R:1 /w:[ 5 ] //: joint g117 (br) @(32, 656) /w:[ 2 -1 1 32 ] d g95 (._Preset(w77), ._Clear(w11), .Clk(Clk), .D(w67), .Q(w78), ._Q(w79)); //: @(-80, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>27 Ri0>27 Ri1>1 Lo0<3 Lo1<0 ] //: joint g79 (w11) @(373, 849) /w:[ 13 14 16 -1 ] //: joint g94 (w11) @(98, 849) /w:[ 21 22 24 -1 ] _GGAND2 #(6) g80 (.I0(br), .I1(w68), .Z(w69)); //: @(304,700) /sn:0 /R:3 /w:[ 29 1 0 ] _GGAND2 #(6) g47 (.I0(br), .I1(w49), .Z(w47)); //: @(852,699) /sn:0 /R:3 /w:[ 21 1 0 ] //: joint g92 (br) @(307, 656) /w:[ 6 -1 5 28 ] //: VDD g85 (w75) @(382,722) /sn:0 /w:[ 0 ] _GGOR2 #(6) g105 (.I0(w92), .I1(w93), .Z(w94)); //: @(-121,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g84 (Clk) @(427, 893) /w:[ 13 14 16 -1 ] //: joint g125 (w72) @(184, 770) /w:[ 2 4 -1 1 ] _GGAND2 #(6) g101 (.I0(w9), .I1(w89), .Z(w91)); //: @(141,700) /sn:0 /R:3 /w:[ 27 5 0 ] _GGAND2 #(6) g81 (.I0(w9), .I1(w72), .Z(w74)); //: @(279,700) /sn:0 /R:3 /w:[ 25 5 0 ] //: VDD g60 (w51) @(656,722) /sn:0 /w:[ 0 ] //: joint g123 (w54) @(458, 770) /w:[ 2 4 -1 1 ] //: joint g116 (w9) @(144, 632) /w:[ 4 -1 3 26 ] //: joint g93 (w9) @(282, 632) /w:[ 6 -1 5 24 ] //: VDD g54 (w45) @(793,721) /sn:0 /w:[ 0 ] //: joint g126 (w89) @(46, 770) /w:[ 2 4 -1 1 ] //: VDD g70 (w60) @(519,722) /sn:0 /w:[ 0 ] d g45 (._Preset(w45), ._Clear(w11), .Clk(Clk), .D(w18), .Q(w36), ._Q(w35)); //: @(743, 745) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>3 Ri0>3 Ri1>1 Lo0<3 Lo1<0 ] //: joint g90 (br) @(444, 656) /w:[ 8 -1 7 26 ] _GGAND2 #(6) g46 (.I0(w9), .I1(w36), .Z(w44)); //: @(827,699) /sn:0 /R:3 /w:[ 17 0 0 ] assign data = {w96, w78, w89, w72, w61, w54, w53, w36}; //: CONCAT g120 @(697,997) /sn:0 /R:3 /w:[ 5 0 0 0 0 0 0 5 5 ] /dr:1 /tp:0 /drp:1 //: joint g114 (w11) @(236, 849) /w:[ 17 18 20 -1 ] _GGOR2 #(6) g97 (.I0(w82), .I1(w81), .Z(w67)); //: @(16,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g66 (w9) @(693, 632) /w:[ 12 -1 11 18 ] d g82 (._Preset(w70), ._Clear(w11), .Clk(Clk), .D(w71), .Q(w72), ._Q(w73)); //: @(195, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>19 Ri0>19 Ri1>1 Lo0<3 Lo1<0 ] //: joint g128 (w96) @(-228, 770) /w:[ 2 4 -1 1 ] //: joint g108 (Clk) @(15, 893) /w:[ 25 26 28 -1 ] //: joint g91 (w9) @(419, 632) /w:[ 8 -1 7 22 ] //: joint g118 (w9) @(7, 632) /w:[ 2 -1 1 28 ] //: joint g134 (bus) @(697, 1111) /w:[ 1 2 -1 4 ] //: IN g49 (br) @(986,656) /sn:0 /R:2 /w:[ 17 ] endmodule //: /netlistEnd //: /netlistBegin SP module SP(bus, Dec, Clk, Clr, data, br, bw, Inc); //: interface /sz:(80, 80) /bd:[ Ti0>bw(66/80) Ti1>br(14/80) Li0>Clk(55/80) Li1>Dec(23/80) Ri0>Inc(22/80) Ri1>Clr(55/80) To027 Bi0>17 Ri0>23 Ri1>1 Lo0<7 Lo1<0 ] //: joint g75 (w7) @(62, 1263) /w:[ 11 -1 12 18 ] //: joint g31 (w18) @(-128, 1066) /w:[ 2 -1 1 28 ] _GGMUX2 #(8, 8) g20 (.I0(w11), .I1(w0), .S(w18), .Z(w12)); //: @(665,1102) /sn:0 /R:2 /w:[ 3 1 19 0 ] /ss:0 /do:0 //: LED g169 (br) @(-360,1219) /sn:0 /w:[ 33 ] /type:0 //: joint g160 (w24) @(516, 1407) /w:[ 10 9 -1 12 ] //: joint g135 (Clk) @(-43, 1390) /w:[ 2 32 1 -1 ] //: LED g149 (w13) @(-303,1407) /sn:0 /R:3 /w:[ 11 ] /type:0 //: comment g39 @(-317,1122) /sn:0 //: /line:"0" //: /end _GGXOR2 #(8) g68 (.I0(w10), .I1(w15), .Z(w36)); //: @(948,1158) /sn:0 /R:3 /w:[ 9 0 0 ] //: comment g48 @(184,1120) /sn:0 //: /line:"0" //: /end _GGMUX2 #(8, 8) g29 (.I0(w15), .I1(w3), .S(w18), .Z(w14)); //: @(842,1104) /sn:0 /R:2 /w:[ 3 1 17 0 ] /ss:0 /do:0 //: IN g25 (Dec) @(1188,1068) /sn:0 /R:2 /w:[ 3 ] //: comment g17 @(-209,1192) /sn:0 //: /line:"1" //: /end //: joint g106 (w24) @(516, 1137) /w:[ 1 2 -1 4 ] //: comment g52 @(351,1123) /sn:0 //: /line:"0" //: /end _GGAND2 #(6) g107 (.I0(w61), .I1(w60), .Z(w52)); //: @(-28,1049) /sn:0 /R:2 /w:[ 0 5 0 ] _GGNBUF #(2) g174 (.I(Clr), .Z(w6)); //: @(-340,1375) /sn:0 /w:[ 3 0 ] _GGXOR2 #(8) g83 (.I0(w74), .I1(w21), .Z(w75)); //: @(273,1158) /sn:0 /R:3 /w:[ 3 0 0 ] //: joint g100 (br) @(78, 1242) /w:[ 8 26 7 -1 ] //: comment g14 @(93,1192) /sn:0 //: /line:"0" //: /end //: joint g47 (w19) @(11, 1139) /w:[ 1 2 -1 4 ] //: comment g44 @(13,1123) /sn:0 //: /line:"0" //: /end d g80 (._Preset(w7), ._Clear(w6), .Clk(Clk), .D(w64), .Q(w19), ._Q(w1)); //: @(23, 1279) /sz:(80, 80) /sn:0 /p:[ Ti0>19 Bi0>25 Ri0>31 Ri1>1 Lo0<7 Lo1<0 ] //: joint g94 (w7) @(399, 1263) /w:[ 7 -1 8 22 ] //: joint g21 (w11) @(675, 1134) /w:[ 1 2 -1 4 ] //: joint g172 (w7) @(906, 1263) /w:[ 1 -1 2 28 ] //: LED g159 (w24) @(531,1407) /sn:0 /R:3 /w:[ 11 ] /type:0 _GGMUX2 #(8, 8) g84 (.I0(w59), .I1(w76), .S(br), .Z(w78)); //: @(-54,1220) /sn:0 /w:[ 1 1 29 0 ] /ss:0 /do:0 //: joint g105 (w71) @(-228, 1051) /w:[ 1 -1 2 4 ] _GGOR2 #(6) g23 (.I0(Dec), .I1(Inc), .Z(w10)); //: @(1046,1015) /sn:0 /R:2 /w:[ 0 3 0 ] //: comment g41 @(-180,1121) /sn:0 //: /line:"1" //: /end //: LED g155 (w21) @(198,1407) /sn:0 /R:3 /w:[ 11 ] /type:0 //: joint g141 (w21) @(182, 1303) /w:[ 6 5 -1 8 ] //: comment g40 @(-150,1121) /sn:0 //: /line:"0" //: /end //: LED g151 (w17) @(-137,1407) /sn:0 /R:3 /w:[ 11 ] /type:0 _GGMUX2 #(8, 8) g54 (.I0(w36), .I1(w46), .S(br), .Z(w39)); //: @(958,1220) /sn:0 /w:[ 1 1 17 0 ] /ss:0 /do:0 //: joint g93 (w7) @(231, 1263) /w:[ 9 -1 10 20 ] _GGAND2 #(6) g116 (.I0(w34), .I1(w51), .Z(w37)); //: @(487,1043) /sn:0 /R:2 /w:[ 1 0 0 ] //: joint g123 (w20) @(771, 1039) /w:[ 2 4 -1 1 ] //: comment g26 @(854,1120) /sn:0 //: /line:"0" //: /end _GGMUX2 #(8, 8) g46 (.I0(w19), .I1(w1), .S(w18), .Z(w61)); //: @(1,1107) /sn:0 /R:2 /w:[ 3 1 27 1 ] /ss:0 /do:0 //: comment g0 @(940,1192) /sn:0 //: /line:"0" //: /end //: LED g167 (Clk) @(1015,1314) /sn:0 /w:[ 19 ] /type:0 //: joint g90 (w6) @(-100, 1375) /w:[ 4 26 3 -1 ] //: joint g82 (w6) @(64, 1375) /w:[ 6 24 5 -1 ] assign data = {w13, w17, w19, w21, w22, w24, w11, w15}; //: CONCAT g136 @(-284,1555) /sn:0 /R:3 /w:[ 5 13 13 13 13 13 13 13 13 ] /dr:1 /tp:0 /drp:1 //: IN g128 (Clk) @(1040,1335) /sn:0 /R:2 /w:[ 17 ] _GGMUX2 #(8, 8) g33 (.I0(w13), .I1(w4), .S(w18), .Z(w72)); //: @(-329,1106) /sn:0 /R:2 /w:[ 3 1 0 1 ] /ss:0 /do:0 //: IN g173 (Clr) @(-433,1375) /sn:0 /w:[ 0 ] //: joint g91 (w6) @(233, 1375) /w:[ 8 22 7 -1 ] //: comment g49 @(154,1120) /sn:0 //: /line:"1" //: /end //: comment g61 @(518,1119) /sn:0 //: /line:"0" //: /end //: joint g137 (w13) @(-319, 1303) /w:[ 6 5 -1 8 ] //: joint g51 (w21) @(182, 1137) /w:[ 1 2 -1 4 ] //: joint g34 (w13) @(-319, 1139) /w:[ 1 2 -1 4 ] //: joint g3 (data) @(-284, 1585) /w:[ 2 4 -1 1 ] //: joint g158 (w22) @(349, 1407) /w:[ 10 9 -1 12 ] d g86 (._Preset(w7), ._Clear(w6), .Clk(Clk), .D(w78), .Q(w17), ._Q(w2)); //: @(-141, 1279) /sz:(80, 80) /sn:0 /p:[ Ti0>17 Bi0>27 Ri0>33 Ri1>1 Lo0<7 Lo1<0 ] _GGMUX2 #(8, 8) g89 (.I0(w62), .I1(w88), .S(br), .Z(w64)); //: @(111,1220) /sn:0 /w:[ 1 1 27 0 ] /ss:0 /do:0 //: OUT g2 (data) @(-170,1585) /sn:0 /w:[ 3 ] //: joint g65 (w7) @(729, 1263) /w:[ 3 -1 4 26 ] //: joint g77 (w7) @(-102, 1263) /w:[ 13 -1 14 16 ] //: joint g110 (w60) @(104, 1047) /w:[ 1 -1 2 4 ] _GGMUX2 #(8, 8) g59 (.I0(w22), .I1(w8), .S(w18), .Z(w33)); //: @(339,1107) /sn:0 /R:2 /w:[ 3 0 23 0 ] /ss:0 /do:0 //: joint g156 (w21) @(182, 1407) /w:[ 10 9 -1 12 ] //: joint g148 (bus) @(-284, 1651) /w:[ -1 2 1 4 ] assign {w81, w76, w88, w86, w57, w54, w49, w46} = bus; //: CONCAT g147 @(-265,960) /sn:0 /R:2 /w:[ 0 0 0 0 0 0 0 0 0 ] /dr:0 /tp:0 /drp:0 //: LED g153 (w19) @(25,1407) /sn:0 /R:3 /w:[ 11 ] /type:0 _GGXOR2 #(8) g72 (.I0(w51), .I1(w24), .Z(w53)); //: @(604,1158) /sn:0 /R:3 /w:[ 5 0 0 ] //: LED g161 (w11) @(691,1407) /sn:0 /R:3 /w:[ 11 ] /type:0 //: joint g98 (br) @(251, 1242) /w:[ 10 24 9 -1 ] //: joint g99 (br) @(413, 1242) /w:[ 12 22 11 -1 ] //: comment g16 @(-72,1192) /sn:0 //: /line:"0" //: /end //: joint g96 (br) @(577, 1242) /w:[ 14 20 13 -1 ] _GGMUX2 #(8, 8) g103 (.I0(w24), .I1(w5), .S(w18), .Z(w34)); //: @(506,1103) /sn:0 /R:2 /w:[ 3 1 21 0 ] /ss:0 /do:0 //: joint g152 (w17) @(-152, 1407) /w:[ 10 9 -1 12 ] _GGAND2 #(6) g122 (.I0(w14), .I1(w10), .Z(w20)); //: @(815,1039) /sn:0 /R:2 /w:[ 1 7 0 ] //: comment g10 @(429,1192) /sn:0 //: /line:"0" //: /end _GGXOR2 #(8) g78 (.I0(w60), .I1(w19), .Z(w62)); //: @(101,1158) /sn:0 /R:3 /w:[ 3 0 0 ] _GGMUX2 #(8, 8) g87 (.I0(w75), .I1(w86), .S(br), .Z(w68)); //: @(283,1220) /sn:0 /w:[ 1 1 25 0 ] /ss:0 /do:0 //: joint g32 (w18) @(45, 1066) /w:[ 4 -1 3 26 ] //: comment g27 @(824,1120) /sn:0 //: /line:"1" //: /end //: VDD g171 (w7) @(1001,1244) /sn:0 /w:[ 0 ] //: joint g129 (Clk) @(975, 1335) /w:[ 14 -1 20 13 ] _GGAND2 #(6) g102 (.I0(w72), .I1(w71), .Z(w55)); //: @(-350,1053) /sn:0 /R:2 /w:[ 0 3 0 ] _GGAND2 #(6) g143 (.I0(!Inc), .I1(Dec), .Z(w18)); //: @(1087,1045) /sn:0 /R:3 /w:[ 5 5 15 ] _GGXOR2 #(8) g69 (.I0(w20), .I1(w11), .Z(w38)); //: @(768,1158) /sn:0 /R:3 /w:[ 3 0 0 ] //: comment g9 @(459,1192) /sn:0 //: /line:"1" //: /end d g57 (._Preset(w7), ._Clear(w6), .Clk(Clk), .D(w39), .Q(w15), ._Q(w3)); //: @(867, 1279) /sz:(80, 80) /sn:0 /p:[ Ti0>29 Bi0>15 Ri0>21 Ri1>1 Lo0<7 Lo1<0 ] //: joint g119 (w51) @(607, 1041) /w:[ 2 -1 1 4 ] //: comment g15 @(-42,1192) /sn:0 //: /line:"1" //: /end //: joint g142 (w24) @(516, 1303) /w:[ 6 5 -1 8 ] _GGXOR2 #(8) g71 (.I0(w37), .I1(w22), .Z(w42)); //: @(437,1158) /sn:0 /R:3 /w:[ 3 0 0 ] //: joint g162 (w11) @(675, 1407) /w:[ 10 9 -1 12 ] //: joint g131 (Clk) @(623, 1390) /w:[ 10 24 9 -1 ] //: joint g67 (w6) @(731, 1375) /w:[ 14 16 13 -1 ] //: IN g127 (br) @(-442,1242) /sn:0 /w:[ 0 ] //: joint g43 (w17) @(-152, 1137) /w:[ 1 2 -1 4 ] //: comment g62 @(488,1119) /sn:0 //: /line:"1" //: /end //: IN g145 (bw) @(-172,1616) /sn:0 /R:2 /w:[ 1 ] _GGMUX2 #(8, 8) g73 (.I0(w53), .I1(w54), .S(br), .Z(w45)); //: @(614,1220) /sn:0 /w:[ 1 1 21 0 ] /ss:0 /do:0 d g88 (._Preset(w7), ._Clear(w6), .Clk(Clk), .D(w83), .Q(w13), ._Q(w4)); //: @(-308, 1279) /sz:(80, 80) /sn:0 /p:[ Ti0>15 Bi0>29 Ri0>0 Ri1>1 Lo0<7 Lo1<0 ] _GGAND2 #(6) g104 (.I0(w56), .I1(w52), .Z(w71)); //: @(-188,1051) /sn:0 /R:2 /w:[ 0 3 0 ] _GGMUX2 #(8, 8) g42 (.I0(w17), .I1(w2), .S(w18), .Z(w56)); //: @(-162,1105) /sn:0 /R:2 /w:[ 3 1 29 1 ] /ss:0 /do:0 //: joint g138 (w17) @(-152, 1303) /w:[ 6 5 -1 8 ] d g63 (._Preset(w7), ._Clear(w6), .Clk(Clk), .D(w45), .Q(w24), ._Q(w5)); //: @(527, 1279) /sz:(80, 80) /sn:0 /p:[ Ti0>25 Bi0>19 Ri0>25 Ri1>1 Lo0<7 Lo1<0 ] //: joint g109 (w18) @(211, 1066) /w:[ 6 -1 5 24 ] //: joint g175 (w6) @(-267, 1375) /w:[ 2 28 1 -1 ] _GGMUX2 #(8, 8) g74 (.I0(w42), .I1(w57), .S(br), .Z(w23)); //: @(447,1220) /sn:0 /w:[ 1 1 23 0 ] /ss:0 /do:0 //: comment g5 @(789,1192) /sn:0 //: /line:"1" //: /end //: joint g168 (Clk) @(1015, 1335) /w:[ 16 18 15 -1 ] //: joint g133 (Clk) @(291, 1390) /w:[ 6 28 5 -1 ] d g56 (._Preset(w7), ._Clear(w6), .Clk(Clk), .D(w23), .Q(w22), ._Q(w8)); //: @(360, 1279) /sz:(80, 80) /sn:0 /p:[ Ti0>23 Bi0>21 Ri0>27 Ri1>1 Lo0<7 Lo1<1 ] _GGXOR2 #(8) g79 (.I0(w71), .I1(w13), .Z(w73)); //: @(-231,1158) /sn:0 /R:3 /w:[ 5 0 0 ] //: joint g95 (br) @(740, 1242) /w:[ 16 18 15 -1 ] //: joint g117 (w37) @(440, 1043) /w:[ 1 2 4 -1 ] //: joint g24 (w10) @(981, 1037) /w:[ 1 2 4 -1 ] //: joint g92 (w6) @(401, 1375) /w:[ 10 20 9 -1 ] _GGMUX2 #(8, 8) g85 (.I0(w73), .I1(w81), .S(br), .Z(w83)); //: @(-221,1220) /sn:0 /w:[ 1 1 31 0 ] /ss:0 /do:0 //: joint g144 (Dec) @(1085, 1018) /w:[ 2 -1 1 4 ] //: joint g125 (w10) @(951, 1037) /w:[ 5 -1 6 8 ] //: joint g60 (w22) @(349, 1139) /w:[ 1 2 -1 4 ] //: joint g101 (br) @(-91, 1242) /w:[ 6 28 5 -1 ] d g81 (._Preset(w7), ._Clear(w6), .Clk(Clk), .D(w68), .Q(w21), ._Q(w9)); //: @(192, 1279) /sz:(80, 80) /sn:0 /p:[ Ti0>21 Bi0>23 Ri0>29 Ri1>1 Lo0<7 Lo1<0 ] //: comment g45 @(-17,1123) /sn:0 //: /line:"1" //: /end //: IN g126 (Inc) @(1190,1013) /sn:0 /R:2 /w:[ 0 ] _GGMUX2 #(8, 8) g70 (.I0(w38), .I1(w49), .S(br), .Z(w16)); //: @(778,1220) /sn:0 /w:[ 1 1 19 0 ] /ss:0 /do:0 //: joint g170 (br) @(-360, 1242) /w:[ 2 32 1 -1 ] //: joint g22 (w15) @(852, 1303) /w:[ 6 5 -1 8 ] //: joint g97 (br) @(-256, 1242) /w:[ 4 30 3 -1 ] //: joint g66 (w6) @(568, 1375) /w:[ 12 18 11 -1 ] _GGAND2 #(6) g120 (.I0(w12), .I1(w20), .Z(w51)); //: @(647,1041) /sn:0 /R:2 /w:[ 1 5 3 ] //: joint g114 (w74) @(276, 1045) /w:[ 1 2 4 -1 ] //: comment g12 @(265,1192) /sn:0 //: /line:"0" //: /end //: comment g18 @(-239,1192) /sn:0 //: /line:"0" //: /end //: joint g30 (w15) @(852, 1136) /w:[ 1 2 -1 4 ] //: joint g108 (w52) @(-61, 1049) /w:[ 1 -1 2 4 ] //: joint g164 (w15) @(852, 1407) /w:[ 10 9 -1 12 ] //: joint g118 (w18) @(699, 1066) /w:[ 12 -1 11 18 ] //: joint g134 (Clk) @(119, 1390) /w:[ 4 30 3 -1 ] endmodule //: /netlistEnd //: /netlistBegin ha module ha(a, b, c, s); //: interface /sz:(40, 40) /bd:[ Li0>b(24/40) Li1>a(12/40) Bo0bw(66/80) Ti1>br(14/80) Li0>Clk(38/80) Ri0>Clr(37/80) To01 Bi0>15 Ri0>15 Ri1>1 Lo0<3 Lo1<0 ] //: joint g51 (br) @(898, 656) /w:[ 16 18 15 -1 ] _GGOR2 #(6) g58 (.I0(w48), .I1(w46), .Z(w27)); //: @(702,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: IN g55 (Clr) @(986,849) /sn:0 /R:2 /w:[ 1 ] //: joint g89 (w11) @(510, 849) /w:[ 9 10 12 -1 ] //: joint g112 (Clk) @(289, 893) /w:[ 17 18 20 -1 ] _GGAND2 #(6) g110 (.I0(w9), .I1(w96), .Z(w93)); //: @(-133,700) /sn:0 /R:3 /w:[ 0 5 0 ] _GGOR2 #(6) g77 (.I0(w64), .I1(w63), .Z(w65)); //: @(428,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g65 (br) @(718, 656) /w:[ 12 -1 11 22 ] //: VDD g111 (w87) @(107,722) /sn:0 /w:[ 0 ] _GGAND2 #(6) g76 (.I0(w9), .I1(w61), .Z(w63)); //: @(416,700) /sn:0 /R:3 /w:[ 23 5 0 ] _GGAND2 #(6) g59 (.I0(br), .I1(w50), .Z(w48)); //: @(715,700) /sn:0 /R:3 /w:[ 23 1 0 ] //: joint g72 (w11) @(647, 849) /w:[ 5 6 8 -1 ] //: VDD g99 (w84) @(-167,722) /sn:0 /w:[ 0 ] _GGAND2 #(6) g98 (.I0(br), .I1(w83), .Z(w82)); //: @(29,700) /sn:0 /R:3 /w:[ 33 1 0 ] //: joint g64 (Clk) @(839, 893) /w:[ 1 2 4 -1 ] _GGAND2 #(6) g96 (.I0(w9), .I1(w78), .Z(w81)); //: @(4,700) /sn:0 /R:3 /w:[ 29 5 0 ] //: joint g122 (w53) @(595, 770) /w:[ 2 1 -1 4 ] _GGOR2 #(6) g103 (.I0(w86), .I1(w91), .Z(w88)); //: @(153,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g121 (w36) @(732, 769) /w:[ 2 1 -1 4 ] //: IN g130 (bw) @(986,1064) /sn:0 /R:2 /w:[ 0 ] //: VDD g87 (w70) @(245,722) /sn:0 /w:[ 0 ] _GGAND2 #(6) g78 (.I0(br), .I1(w66), .Z(w64)); //: @(441,700) /sn:0 /R:3 /w:[ 27 1 0 ] _GGNBUF #(2) g50 (.I(br), .Z(w9)); //: @(872,632) /sn:0 /R:2 /w:[ 19 15 ] _GGBUFIF8 #(4, 6) g129 (.Z(bus), .I(data), .E(bw)); //: @(697,1062) /sn:0 /R:3 /w:[ 3 0 1 ] d g113 (._Preset(w84), ._Clear(w11), .Clk(Clk), .D(w94), .Q(w96), ._Q(w97)); //: @(-217, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>29 Ri0>29 Ri1>1 Lo0<3 Lo1<0 ] //: joint g132 (data) @(697, 1027) /w:[ 2 4 -1 1 ] d g102 (._Preset(w87), ._Clear(w11), .Clk(Clk), .D(w88), .Q(w89), ._Q(w90)); //: @(57, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>23 Ri0>23 Ri1>1 Lo0<3 Lo1<0 ] _GGAND2 #(6) g69 (.I0(br), .I1(w59), .Z(w57)); //: @(578,700) /sn:0 /R:3 /w:[ 25 1 0 ] //: joint g115 (br) @(169, 656) /w:[ 4 -1 3 30 ] assign {w95, w83, w85, w68, w66, w59, w50, w49} = bus; //: CONCAT g119 @(901,544) /sn:0 /w:[ 0 0 0 0 0 0 0 0 0 ] /dr:0 /tp:0 /drp:0 //: IN g57 (Clk) @(986,893) /sn:0 /R:2 /w:[ 0 ] //: joint g75 (w9) @(556, 632) /w:[ 10 -1 9 20 ] //: joint g53 (w9) @(830, 632) /w:[ 14 -1 13 16 ] d g71 (._Preset(w60), ._Clear(w11), .Clk(Clk), .D(w58), .Q(w54), ._Q(w62)); //: @(469, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>11 Ri0>11 Ri1>1 Lo0<3 Lo1<0 ] //: joint g124 (w61) @(321, 770) /w:[ 2 4 -1 1 ] //: joint g127 (w78) @(-91, 770) /w:[ 2 4 -1 1 ] _GGAND2 #(6) g67 (.I0(w9), .I1(w54), .Z(w56)); //: @(553,700) /sn:0 /R:3 /w:[ 21 5 0 ] //: OUT g131 (data) @(986,1027) /sn:0 /w:[ 3 ] _GGOR2 #(6) g68 (.I0(w57), .I1(w56), .Z(w58)); //: @(565,745) /sn:0 /R:3 /w:[ 1 1 0 ] _GGOR2 #(6) g48 (.I0(w47), .I1(w44), .Z(w18)); //: @(839,744) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g104 (Clk) @(151, 893) /w:[ 21 22 24 -1 ] //: joint g88 (Clk) @(563, 893) /w:[ 9 10 12 -1 ] //: joint g73 (Clk) @(701, 893) /w:[ 5 6 8 -1 ] //: joint g62 (w11) @(784, 849) /w:[ 1 2 4 -1 ] d g63 (._Preset(w51), ._Clear(w11), .Clk(Clk), .D(w27), .Q(w53), ._Q(w55)); //: @(606, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>7 Ri0>7 Ri1>1 Lo0<3 Lo1<0 ] _GGAND2 #(6) g107 (.I0(br), .I1(w95), .Z(w92)); //: @(-108,700) /sn:0 /R:3 /w:[ 0 1 0 ] //: joint g106 (w11) @(-39, 849) /w:[ 25 26 28 -1 ] //: joint g52 (br) @(855, 656) /w:[ 14 -1 13 20 ] _GGOR2 #(6) g83 (.I0(w69), .I1(w74), .Z(w71)); //: @(291,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: VDD g109 (w77) @(-30,722) /sn:0 /w:[ 0 ] //: joint g74 (br) @(581, 656) /w:[ 10 -1 9 24 ] _GGAND2 #(6) g100 (.I0(br), .I1(w85), .Z(w86)); //: @(166,700) /sn:0 /R:3 /w:[ 31 1 0 ] _GGNBUF #(2) g56 (.I(Clr), .Z(w11)); //: @(920,849) /sn:0 /R:2 /w:[ 0 0 ] //: INOUT g133 (bus) @(697,1147) /sn:0 /R:1 /w:[ 5 ] //: joint g117 (br) @(32, 656) /w:[ 2 -1 1 32 ] d g95 (._Preset(w77), ._Clear(w11), .Clk(Clk), .D(w67), .Q(w78), ._Q(w79)); //: @(-80, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>27 Ri0>27 Ri1>1 Lo0<3 Lo1<0 ] //: joint g79 (w11) @(373, 849) /w:[ 13 14 16 -1 ] //: joint g94 (w11) @(98, 849) /w:[ 21 22 24 -1 ] _GGAND2 #(6) g80 (.I0(br), .I1(w68), .Z(w69)); //: @(304,700) /sn:0 /R:3 /w:[ 29 1 0 ] _GGAND2 #(6) g47 (.I0(br), .I1(w49), .Z(w47)); //: @(852,699) /sn:0 /R:3 /w:[ 21 1 0 ] //: joint g92 (br) @(307, 656) /w:[ 6 -1 5 28 ] //: VDD g85 (w75) @(382,722) /sn:0 /w:[ 0 ] _GGOR2 #(6) g105 (.I0(w92), .I1(w93), .Z(w94)); //: @(-121,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g84 (Clk) @(427, 893) /w:[ 13 14 16 -1 ] //: joint g125 (w72) @(184, 770) /w:[ 2 4 -1 1 ] _GGAND2 #(6) g101 (.I0(w9), .I1(w89), .Z(w91)); //: @(141,700) /sn:0 /R:3 /w:[ 27 5 0 ] _GGAND2 #(6) g81 (.I0(w9), .I1(w72), .Z(w74)); //: @(279,700) /sn:0 /R:3 /w:[ 25 5 0 ] //: VDD g60 (w51) @(656,722) /sn:0 /w:[ 0 ] //: joint g123 (w54) @(458, 770) /w:[ 2 4 -1 1 ] //: joint g116 (w9) @(144, 632) /w:[ 4 -1 3 26 ] //: joint g93 (w9) @(282, 632) /w:[ 6 -1 5 24 ] //: VDD g54 (w45) @(793,721) /sn:0 /w:[ 0 ] //: joint g126 (w89) @(46, 770) /w:[ 2 4 -1 1 ] //: VDD g70 (w60) @(519,722) /sn:0 /w:[ 0 ] d g45 (._Preset(w45), ._Clear(w11), .Clk(Clk), .D(w18), .Q(w36), ._Q(w35)); //: @(743, 745) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>3 Ri0>3 Ri1>1 Lo0<3 Lo1<0 ] //: joint g90 (br) @(444, 656) /w:[ 8 -1 7 26 ] _GGAND2 #(6) g46 (.I0(w9), .I1(w36), .Z(w44)); //: @(827,699) /sn:0 /R:3 /w:[ 17 0 0 ] assign data = {w96, w78, w89, w72, w61, w54, w53, w36}; //: CONCAT g120 @(697,997) /sn:0 /R:3 /w:[ 5 0 0 0 0 0 0 5 5 ] /dr:1 /tp:0 /drp:1 //: joint g114 (w11) @(236, 849) /w:[ 17 18 20 -1 ] _GGOR2 #(6) g97 (.I0(w82), .I1(w81), .Z(w67)); //: @(16,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g66 (w9) @(693, 632) /w:[ 12 -1 11 18 ] d g82 (._Preset(w70), ._Clear(w11), .Clk(Clk), .D(w71), .Q(w72), ._Q(w73)); //: @(195, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>19 Ri0>19 Ri1>1 Lo0<3 Lo1<0 ] //: joint g128 (w96) @(-228, 770) /w:[ 2 4 -1 1 ] //: joint g108 (Clk) @(15, 893) /w:[ 25 26 28 -1 ] //: joint g91 (w9) @(419, 632) /w:[ 8 -1 7 22 ] //: joint g118 (w9) @(7, 632) /w:[ 2 -1 1 28 ] //: joint g134 (bus) @(697, 1111) /w:[ 1 2 -1 4 ] //: IN g49 (br) @(986,656) /sn:0 /R:2 /w:[ 17 ] endmodule //: /netlistEnd //: /netlistBegin FL module FL(Clr, br, Fi, bw, Clk, Fo, bus, ar); //: interface /sz:(80, 80) /bd:[ Ti0>bw(64/80) Ti1>br(39/80) Ti2>ar(14/80) Li0>Clk(55/80) Ri0>Fi[7:0](25/80) Ri1>Clr(56/80) Lo01 Bi0>15 Ri0>15 Ri1>1 Lo0<3 Lo1<0 ] //: joint g112 (Clk) @(289, 893) /w:[ 17 18 20 -1 ] //: joint g89 (w11) @(510, 849) /w:[ 9 10 12 -1 ] //: IN g2 (br) @(1020,600) /sn:0 /R:2 /w:[ 0 ] _GGAND2 #(6) g76 (.I0(w9), .I1(w61), .Z(w63)); //: @(416,700) /sn:0 /R:3 /w:[ 23 5 0 ] //: VDD g111 (w87) @(107,722) /sn:0 /w:[ 0 ] //: joint g65 (w2) @(718, 656) /w:[ 12 -1 11 22 ] _GGOR2 #(6) g77 (.I0(w64), .I1(w63), .Z(w65)); //: @(428,745) /sn:0 /R:3 /w:[ 1 1 0 ] _GGAND2 #(6) g110 (.I0(w9), .I1(w96), .Z(w93)); //: @(-133,700) /sn:0 /R:3 /w:[ 0 5 0 ] _GGAND2 #(6) g59 (.I0(w2), .I1(w50), .Z(w48)); //: @(715,700) /sn:0 /R:3 /w:[ 23 1 0 ] //: IN g1 (Fi) @(1020,534) /sn:0 /R:2 /w:[ 0 ] //: joint g72 (w11) @(647, 849) /w:[ 5 6 8 -1 ] //: joint g64 (Clk) @(839, 893) /w:[ 1 2 4 -1 ] _GGAND2 #(6) g98 (.I0(w2), .I1(w83), .Z(w82)); //: @(29,700) /sn:0 /R:3 /w:[ 33 1 0 ] //: VDD g99 (w84) @(-167,722) /sn:0 /w:[ 0 ] _GGAND2 #(6) g96 (.I0(w9), .I1(w78), .Z(w81)); //: @(4,700) /sn:0 /R:3 /w:[ 29 5 0 ] //: IN g130 (bw) @(1018,1064) /sn:0 /R:2 /w:[ 0 ] //: joint g121 (w36) @(732, 769) /w:[ 2 1 -1 4 ] _GGOR2 #(6) g103 (.I0(w86), .I1(w91), .Z(w88)); //: @(153,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g122 (w53) @(595, 770) /w:[ 2 1 -1 4 ] _GGNBUF #(2) g50 (.I(w2), .Z(w9)); //: @(872,632) /sn:0 /R:2 /w:[ 19 15 ] _GGAND2 #(6) g78 (.I0(w2), .I1(w66), .Z(w64)); //: @(441,700) /sn:0 /R:3 /w:[ 27 1 0 ] //: VDD g87 (w70) @(245,722) /sn:0 /w:[ 0 ] //: joint g132 (Fo) @(697, 1027) /w:[ 2 4 -1 1 ] d g113 (._Preset(w84), ._Clear(w11), .Clk(Clk), .D(w94), .Q(w96), ._Q(w97)); //: @(-217, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>29 Ri0>29 Ri1>1 Lo0<3 Lo1<0 ] _GGBUFIF8 #(4, 6) g129 (.Z(bus), .I(Fo), .E(bw)); //: @(697,1062) /sn:0 /R:3 /w:[ 0 0 1 ] d g102 (._Preset(w87), ._Clear(w11), .Clk(Clk), .D(w88), .Q(w89), ._Q(w90)); //: @(57, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>23 Ri0>23 Ri1>1 Lo0<3 Lo1<0 ] //: joint g115 (w2) @(169, 656) /w:[ 4 -1 3 30 ] _GGAND2 #(6) g69 (.I0(w2), .I1(w59), .Z(w57)); //: @(578,700) /sn:0 /R:3 /w:[ 25 1 0 ] //: joint g53 (w9) @(830, 632) /w:[ 14 -1 13 16 ] //: joint g75 (w9) @(556, 632) /w:[ 10 -1 9 20 ] //: IN g57 (Clk) @(1018,893) /sn:0 /R:2 /w:[ 0 ] assign {w95, w83, w85, w68, w66, w59, w50, w49} = w1; //: CONCAT g119 @(901,544) /sn:0 /w:[ 0 0 0 0 0 0 0 0 0 ] /dr:0 /tp:0 /drp:0 d g71 (._Preset(w60), ._Clear(w11), .Clk(Clk), .D(w58), .Q(w54), ._Q(w62)); //: @(469, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>11 Ri0>11 Ri1>1 Lo0<3 Lo1<0 ] //: joint g124 (w61) @(321, 770) /w:[ 2 4 -1 1 ] _GGOR2 #(6) g68 (.I0(w57), .I1(w56), .Z(w58)); //: @(565,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: OUT g131 (Fo) @(1018,1027) /sn:0 /w:[ 3 ] _GGAND2 #(6) g67 (.I0(w9), .I1(w54), .Z(w56)); //: @(553,700) /sn:0 /R:3 /w:[ 21 5 0 ] //: joint g127 (w78) @(-91, 770) /w:[ 2 4 -1 1 ] _GGOR2 #(6) g48 (.I0(w47), .I1(w44), .Z(w18)); //: @(839,744) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g62 (w11) @(784, 849) /w:[ 1 2 4 -1 ] //: joint g73 (Clk) @(701, 893) /w:[ 5 6 8 -1 ] //: joint g88 (Clk) @(563, 893) /w:[ 9 10 12 -1 ] //: joint g104 (Clk) @(151, 893) /w:[ 21 22 24 -1 ] //: joint g52 (w2) @(855, 656) /w:[ 14 -1 13 20 ] //: joint g106 (w11) @(-39, 849) /w:[ 25 26 28 -1 ] _GGAND2 #(6) g107 (.I0(w2), .I1(w95), .Z(w92)); //: @(-108,700) /sn:0 /R:3 /w:[ 0 1 0 ] d g63 (._Preset(w51), ._Clear(w11), .Clk(Clk), .D(w27), .Q(w53), ._Q(w55)); //: @(606, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>7 Ri0>7 Ri1>1 Lo0<3 Lo1<0 ] _GGOR2 #(6) g83 (.I0(w69), .I1(w74), .Z(w71)); //: @(291,745) /sn:0 /R:3 /w:[ 1 1 0 ] _GGAND2 #(6) g100 (.I0(w2), .I1(w85), .Z(w86)); //: @(166,700) /sn:0 /R:3 /w:[ 31 1 0 ] //: joint g74 (w2) @(581, 656) /w:[ 10 -1 9 24 ] //: VDD g109 (w77) @(-30,722) /sn:0 /w:[ 0 ] //: joint g5 (br) @(942, 600) /w:[ 1 2 -1 4 ] //: INOUT g133 (bus) @(697,1147) /sn:0 /R:1 /w:[ 5 ] _GGNBUF #(2) g56 (.I(Clr), .Z(w11)); //: @(920,849) /sn:0 /R:2 /w:[ 0 0 ] _GGAND2 #(6) g47 (.I0(w2), .I1(w49), .Z(w47)); //: @(852,699) /sn:0 /R:3 /w:[ 21 1 0 ] _GGAND2 #(6) g80 (.I0(w2), .I1(w68), .Z(w69)); //: @(304,700) /sn:0 /R:3 /w:[ 29 1 0 ] //: joint g94 (w11) @(98, 849) /w:[ 21 22 24 -1 ] //: joint g79 (w11) @(373, 849) /w:[ 13 14 16 -1 ] d g95 (._Preset(w77), ._Clear(w11), .Clk(Clk), .D(w67), .Q(w78), ._Q(w79)); //: @(-80, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>27 Ri0>27 Ri1>1 Lo0<3 Lo1<0 ] //: joint g117 (w2) @(32, 656) /w:[ 2 -1 1 32 ] //: joint g84 (Clk) @(427, 893) /w:[ 13 14 16 -1 ] _GGOR2 #(6) g105 (.I0(w92), .I1(w93), .Z(w94)); //: @(-121,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: VDD g85 (w75) @(382,722) /sn:0 /w:[ 0 ] //: joint g92 (w2) @(307, 656) /w:[ 6 -1 5 28 ] //: joint g125 (w72) @(184, 770) /w:[ 2 4 -1 1 ] //: VDD g54 (w45) @(793,721) /sn:0 /w:[ 0 ] //: joint g93 (w9) @(282, 632) /w:[ 6 -1 5 24 ] //: joint g116 (w9) @(144, 632) /w:[ 4 -1 3 26 ] //: joint g123 (w54) @(458, 770) /w:[ 2 4 -1 1 ] //: VDD g60 (w51) @(656,722) /sn:0 /w:[ 0 ] _GGAND2 #(6) g81 (.I0(w9), .I1(w72), .Z(w74)); //: @(279,700) /sn:0 /R:3 /w:[ 25 5 0 ] _GGAND2 #(6) g101 (.I0(w9), .I1(w89), .Z(w91)); //: @(141,700) /sn:0 /R:3 /w:[ 27 5 0 ] _GGMUX2x8 #(8, 8) g0 (.I0(Fi), .I1(bus), .S(br), .Z(w1)); //: @(942,544) /sn:0 /R:3 /w:[ 1 3 3 1 ] /ss:1 /do:0 _GGAND2 #(6) g46 (.I0(w9), .I1(w36), .Z(w44)); //: @(827,699) /sn:0 /R:3 /w:[ 17 0 0 ] //: joint g90 (w2) @(444, 656) /w:[ 8 -1 7 26 ] d g45 (._Preset(w45), ._Clear(w11), .Clk(Clk), .D(w18), .Q(w36), ._Q(w35)); //: @(743, 745) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>3 Ri0>3 Ri1>1 Lo0<3 Lo1<0 ] //: VDD g70 (w60) @(519,722) /sn:0 /w:[ 0 ] //: joint g126 (w89) @(46, 770) /w:[ 2 4 -1 1 ] d g82 (._Preset(w70), ._Clear(w11), .Clk(Clk), .D(w71), .Q(w72), ._Q(w73)); //: @(195, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>19 Ri0>19 Ri1>1 Lo0<3 Lo1<0 ] //: joint g66 (w9) @(693, 632) /w:[ 12 -1 11 18 ] _GGOR2 #(6) g97 (.I0(w82), .I1(w81), .Z(w67)); //: @(16,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g114 (w11) @(236, 849) /w:[ 17 18 20 -1 ] assign Fo = {w96, w78, w89, w72, w61, w54, w53, w36}; //: CONCAT g120 @(697,997) /sn:0 /R:3 /w:[ 5 0 0 0 0 0 0 5 5 ] /dr:1 /tp:0 /drp:1 //: joint g128 (w96) @(-228, 770) /w:[ 2 4 -1 1 ] //: joint g91 (w9) @(419, 632) /w:[ 8 -1 7 22 ] //: joint g108 (Clk) @(15, 893) /w:[ 25 26 28 -1 ] //: IN g49 (ar) @(1021,635) /sn:0 /R:2 /w:[ 1 ] //: joint g134 (bus) @(697, 1111) /w:[ 2 1 -1 4 ] //: joint g118 (w9) @(7, 632) /w:[ 2 -1 1 28 ] endmodule //: /netlistEnd //: /netlistBegin FLAGS module FLAGS(C1, C0, Clr, Clk, Fo, Fi, load); //: interface /sz:(100, 100) /bd:[ Ti0>C1(81/100) Ti1>C0(15/100) Ti2>load(50/100) Li0>Clk(67/100) Li1>Fi[3:0](33/100) Ri0>Clr(71/100) Ro01 Bi0>0 Ri0>0 Ri1>1 Lo0<3 Lo1<0 ] //: joint g89 (w0) @(510, 903) /w:[ 2 12 1 -1 ] //: joint g2 (w0) @(781, 903) /w:[ 6 8 5 -1 ] _GGAND2 #(6) g76 (.I0(w9), .I1(w61), .Z(w63)); //: @(416,700) /sn:0 /R:3 /w:[ 0 5 0 ] //: joint g65 (load) @(718, 656) /w:[ 4 -1 3 14 ] _GGOR2 #(6) g77 (.I0(w64), .I1(w63), .Z(w65)); //: @(428,745) /sn:0 /R:3 /w:[ 1 1 0 ] _GGAND2 #(6) g59 (.I0(load), .I1(w50), .Z(w48)); //: @(715,700) /sn:0 /R:3 /w:[ 15 1 0 ] _GGNOR2 #(4) g1 (.I0(w0), .I1(C0), .Z(w11)); //: @(784,855) /sn:0 /R:1 /w:[ 9 1 0 ] //: joint g72 (w0) @(647, 903) /w:[ 4 10 3 -1 ] //: joint g64 (Clk) @(839, 947) /w:[ 6 8 5 -1 ] //: joint g121 (w36) @(732, 769) /w:[ 2 1 -1 4 ] //: joint g122 (w53) @(595, 770) /w:[ 2 1 -1 4 ] _GGNBUF #(2) g50 (.I(load), .Z(w9)); //: @(872,632) /sn:0 /R:2 /w:[ 11 7 ] _GGAND2 #(6) g78 (.I0(load), .I1(w66), .Z(w64)); //: @(441,700) /sn:0 /R:3 /w:[ 0 1 0 ] _GGAND2 #(6) g69 (.I0(load), .I1(w59), .Z(w57)); //: @(578,700) /sn:0 /R:3 /w:[ 17 1 0 ] //: joint g53 (w9) @(830, 632) /w:[ 6 -1 5 8 ] //: joint g75 (w9) @(556, 632) /w:[ 2 -1 1 12 ] //: IN g57 (Clk) @(992,947) /sn:0 /R:2 /w:[ 7 ] assign {w66, w59, w50, w49} = Fi; //: CONCAT g119 @(878,572) /sn:0 /w:[ 0 0 0 0 0 ] /dr:0 /tp:0 /drp:0 d g71 (._Preset(w60), ._Clear(w0), .Clk(Clk), .D(w58), .Q(w54), ._Q(w62)); //: @(469, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>13 Ri0>13 Ri1>1 Lo0<3 Lo1<0 ] //: joint g124 (w61) @(321, 770) /w:[ 2 4 -1 1 ] _GGOR2 #(6) g68 (.I0(w57), .I1(w56), .Z(w58)); //: @(565,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: OUT g131 (Fo) @(992,1081) /sn:0 /w:[ 1 ] _GGAND2 #(6) g67 (.I0(w9), .I1(w54), .Z(w56)); //: @(553,700) /sn:0 /R:3 /w:[ 13 5 0 ] _GGOR2 #(6) g48 (.I0(w47), .I1(w44), .Z(w18)); //: @(839,744) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g73 (Clk) @(701, 947) /w:[ 4 10 3 -1 ] //: joint g88 (Clk) @(563, 947) /w:[ 2 12 1 -1 ] //: joint g52 (load) @(855, 656) /w:[ 6 -1 5 12 ] d g63 (._Preset(w51), ._Clear(w0), .Clk(Clk), .D(w27), .Q(w53), ._Q(w55)); //: @(606, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>11 Ri0>11 Ri1>1 Lo0<3 Lo1<0 ] //: joint g74 (load) @(581, 656) /w:[ 2 -1 1 16 ] _GGNBUF #(2) g5 (.I(C1), .Z(w45)); //: @(955,724) /sn:0 /R:2 /w:[ 0 0 ] _GGNBUF #(2) g56 (.I(Clr), .Z(w0)); //: @(920,903) /sn:0 /R:2 /w:[ 0 7 ] _GGAND2 #(6) g47 (.I0(load), .I1(w49), .Z(w47)); //: @(852,699) /sn:0 /R:3 /w:[ 13 1 0 ] //: VDD g85 (w75) @(382,722) /sn:0 /w:[ 0 ] //: joint g123 (w54) @(458, 770) /w:[ 2 4 -1 1 ] //: VDD g60 (w51) @(656,722) /sn:0 /w:[ 0 ] //: IN g0 (Fi) @(992,572) /sn:0 /R:2 /w:[ 1 ] _GGAND2 #(6) g46 (.I0(w9), .I1(w36), .Z(w44)); //: @(827,699) /sn:0 /R:3 /w:[ 9 0 0 ] d g45 (._Preset(w45), ._Clear(w11), .Clk(Clk), .D(w18), .Q(w36), ._Q(w35)); //: @(743, 745) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>1 Ri0>9 Ri1>1 Lo0<3 Lo1<0 ] //: VDD g70 (w60) @(519,722) /sn:0 /w:[ 0 ] //: joint g66 (w9) @(693, 632) /w:[ 4 -1 3 10 ] assign Fo = {w61, w54, w53, w36}; //: CONCAT g120 @(717,1051) /sn:0 /R:3 /w:[ 0 0 0 5 5 ] /dr:1 /tp:0 /drp:1 //: IN g49 (load) @(992,656) /sn:0 /R:2 /w:[ 9 ] endmodule //: /netlistEnd //: /netlistBegin PC module PC(data, bus, br, bw, Inc, Clk, Clr); //: interface /sz:(84, 80) /bd:[ Ti0>bw(64/84) Ti1>br(16/84) Li0>Clk(27/80) Ri0>Inc(26/80) Ri1>Clr(50/80) To017 Bi0>27 Ri0>29 Ri1>1 Lo0<3 Lo1<1 ] //: joint g3 (data) @(-284, 1585) /w:[ 2 4 -1 1 ] //: joint g140 (w33) @(197, 1303) /w:[ 2 4 -1 1 ] _GGBUFIF8 #(4, 6) g55 (.Z(bus), .I(data), .E(bw)); //: @(-284,1614) /sn:0 /R:3 /w:[ 3 0 0 ] //: comment g13 @(47,1192) /sn:0 //: /line:"1" //: /end _GGMUX2 #(8, 8) g89 (.I0(w62), .I1(w88), .S(br), .Z(w64)); //: @(35,1220) /sn:0 /w:[ 1 1 25 0 ] /ss:0 /do:0 //: joint g112 (w69) @(154, 1137) /w:[ -1 6 5 8 ] //: joint g139 (w61) @(-65, 1303) /w:[ 2 4 -1 1 ] //: joint g110 (w60) @(28, 1098) /w:[ 1 2 -1 4 ] //: joint g77 (w7) @(-141, 1263) /w:[ 13 -1 14 16 ] //: joint g65 (w7) @(502, 1263) /w:[ 3 -1 4 26 ] //: OUT g2 (data) @(-170,1585) /sn:0 /w:[ 3 ] _GGAND2 #(6) g111 (.I0(w69), .I1(w74), .Z(w60)); //: @(99,1098) /sn:0 /R:2 /w:[ 7 3 0 ] _GGXOR2 #(8) g76 (.I0(w52), .I1(w56), .Z(w59)); //: @(-103,1158) /sn:0 /R:3 /w:[ 5 9 0 ] assign {w81, w76, w88, w86, w57, w54, w49, w46} = bus; //: CONCAT g147 @(-265,1011) /sn:0 /R:2 /w:[ 0 0 0 0 0 0 0 0 0 ] /dr:0 /tp:0 /drp:0 //: joint g148 (bus) @(-284, 1651) /w:[ -1 2 1 4 ] _GGXOR2 #(8) g72 (.I0(w51), .I1(w34), .Z(w53)); //: @(413,1158) /sn:0 /R:3 /w:[ 5 9 0 ] //: comment g1 @(694,1192) /sn:0 //: /line:"1" //: /end //: joint g99 (br) @(261, 1242) /w:[ 10 20 9 -1 ] //: joint g98 (br) @(134, 1242) /w:[ 8 22 7 -1 ] //: joint g64 (w7) @(375, 1263) /w:[ 5 -1 6 24 ] //: joint g96 (br) @(386, 1242) /w:[ 12 18 11 -1 ] //: comment g16 @(-111,1192) /sn:0 //: /line:"0" //: /end //: comment g11 @(178,1192) /sn:0 //: /line:"1" //: /end _GGAND2 #(6) g122 (.I0(w12), .I1(Inc), .Z(w20)); //: @(613,1090) /sn:0 /R:2 /w:[ 7 0 0 ] //: joint g103 (w72) @(-233, 1139) /w:[ -1 6 5 8 ] //: joint g121 (w11) @(539, 1139) /w:[ -1 6 5 8 ] //: joint g130 (Clk) @(561, 1390) /w:[ 12 18 11 -1 ] _GGMUX2 #(8, 8) g87 (.I0(w75), .I1(w86), .S(br), .Z(w68)); //: @(166,1220) /sn:0 /w:[ 1 1 23 0 ] /ss:0 /do:0 _GGXOR2 #(8) g78 (.I0(w60), .I1(w61), .Z(w62)); //: @(25,1158) /sn:0 /R:3 /w:[ 5 9 0 ] //: comment g10 @(277,1192) /sn:0 //: /line:"0" //: /end //: joint g129 (Clk) @(699, 1335) /w:[ 14 -1 16 13 ] //: VDD g171 (w7) @(725,1244) /sn:0 /w:[ 0 ] _GGAND2 #(6) g113 (.I0(w33), .I1(w37), .Z(w74)); //: @(229,1096) /sn:0 /R:2 /w:[ 7 3 0 ] //: joint g132 (Clk) @(303, 1390) /w:[ 8 22 7 -1 ] _GGAND2 #(6) g102 (.I0(w72), .I1(w71), .Z(w55)); //: @(-283,1104) /sn:0 /R:2 /w:[ 7 3 0 ] //: INOUT g146 (bus) @(-284,1685) /sn:0 /R:1 /w:[ 5 ] _GGXOR2 #(8) g69 (.I0(w20), .I1(w11), .Z(w38)); //: @(541,1158) /sn:0 /R:3 /w:[ 5 9 0 ] //: joint g143 (w11) @(454, 1303) /w:[ 2 4 -1 1 ] //: joint g115 (w33) @(283, 1139) /w:[ -1 6 5 8 ] //: comment g6 @(532,1192) /sn:0 //: /line:"0" //: /end //: joint g119 (w51) @(416, 1092) /w:[ 2 -1 1 4 ] d g57 (._Preset(w7), ._Clear(w6), .Clk(Clk), .D(w39), .Q(w12), ._Q(w3)); //: @(591, 1279) /sz:(80, 80) /sn:0 /p:[ Ti0>29 Bi0>15 Ri0>17 Ri1>1 Lo0<3 Lo1<1 ] //: comment g9 @(307,1192) /sn:0 //: /line:"1" //: /end //: joint g75 (w7) @(-14, 1263) /w:[ 11 -1 12 18 ] d g53 (._Preset(w7), ._Clear(w6), .Clk(Clk), .D(w16), .Q(w11), ._Q(w0)); //: @(463, 1279) /sz:(80, 80) /sn:0 /p:[ Ti0>27 Bi0>17 Ri0>19 Ri1>1 Lo0<3 Lo1<1 ] //: comment g7 @(435,1192) /sn:0 //: /line:"1" //: /end _GGXOR2 #(8) g71 (.I0(w37), .I1(w33), .Z(w42)); //: @(285,1158) /sn:0 /R:3 /w:[ 5 9 0 ] //: joint g142 (w34) @(325, 1303) /w:[ 2 4 -1 1 ] //: comment g15 @(-81,1192) /sn:0 //: /line:"1" //: /end //: joint g135 (Clk) @(-82, 1390) /w:[ 2 28 1 -1 ] //: joint g124 (w12) @(670, 1137) /w:[ -1 6 5 8 ] //: IN g127 (br) @(-442,1242) /sn:0 /w:[ 0 ] //: joint g67 (w6) @(504, 1375) /w:[ 14 16 13 -1 ] //: joint g131 (Clk) @(432, 1390) /w:[ 10 20 9 -1 ] _GGXOR2 #(8) g68 (.I0(Inc), .I1(w12), .Z(w36)); //: @(672,1158) /sn:0 /R:3 /w:[ 5 9 0 ] _GGAND2 #(6) g104 (.I0(w56), .I1(w52), .Z(w71)); //: @(-158,1102) /sn:0 /R:2 /w:[ 7 3 0 ] d g88 (._Preset(w7), ._Clear(w6), .Clk(Clk), .D(w83), .Q(w72), ._Q(w4)); //: @(-308, 1279) /sz:(80, 80) /sn:0 /p:[ Ti0>15 Bi0>29 Ri0>0 Ri1>1 Lo0<3 Lo1<1 ] _GGMUX2 #(8, 8) g73 (.I0(w53), .I1(w54), .S(br), .Z(w45)); //: @(423,1220) /sn:0 /w:[ 1 1 19 0 ] /ss:0 /do:0 //: IN g145 (bw) @(-172,1616) /sn:0 /R:2 /w:[ 1 ] //: comment g17 @(-209,1192) /sn:0 //: /line:"1" //: /end d g63 (._Preset(w7), ._Clear(w6), .Clk(Clk), .D(w45), .Q(w34), ._Q(w5)); //: @(336, 1279) /sz:(80, 80) /sn:0 /p:[ Ti0>25 Bi0>19 Ri0>21 Ri1>1 Lo0<3 Lo1<1 ] //: joint g138 (w56) @(-191, 1303) /w:[ 2 4 -1 1 ] _GGAND2 #(6) g107 (.I0(w61), .I1(w60), .Z(w52)); //: @(-32,1100) /sn:0 /R:2 /w:[ 7 3 0 ] //: joint g106 (w56) @(-105, 1137) /w:[ -1 6 5 8 ] _GGXOR2 #(8) g83 (.I0(w74), .I1(w69), .Z(w75)); //: @(156,1158) /sn:0 /R:3 /w:[ 5 9 0 ] _GGNBUF #(2) g174 (.I(Clr), .Z(w6)); //: @(-340,1375) /sn:0 /w:[ 1 0 ] //: joint g109 (w61) @(23, 1139) /w:[ -1 6 5 8 ] _GGMUX2 #(8, 8) g74 (.I0(w42), .I1(w57), .S(br), .Z(w23)); //: @(295,1220) /sn:0 /w:[ 1 1 21 0 ] /ss:0 /do:0 //: joint g175 (w6) @(-267, 1375) /w:[ 2 28 1 -1 ] //: joint g100 (br) @(2, 1242) /w:[ 6 24 5 -1 ] d g56 (._Preset(w7), ._Clear(w6), .Clk(Clk), .D(w23), .Q(w33), ._Q(w8)); //: @(208, 1279) /sz:(80, 80) /sn:0 /p:[ Ti0>23 Bi0>21 Ri0>23 Ri1>1 Lo0<3 Lo1<1 ] //: joint g133 (Clk) @(174, 1390) /w:[ 6 24 5 -1 ] //: comment g5 @(562,1192) /sn:0 //: /line:"1" //: /end //: comment g14 @(17,1192) /sn:0 //: /line:"0" //: /end //: joint g117 (w37) @(288, 1094) /w:[ 1 2 -1 4 ] //: joint g95 (br) @(513, 1242) /w:[ 14 16 13 -1 ] _GGXOR2 #(8) g79 (.I0(w71), .I1(w72), .Z(w73)); //: @(-231,1158) /sn:0 /R:3 /w:[ 5 9 0 ] //: joint g94 (w7) @(247, 1263) /w:[ 7 -1 8 22 ] d g80 (._Preset(w7), ._Clear(w6), .Clk(Clk), .D(w64), .Q(w61), ._Q(w1)); //: @(-53, 1279) /sz:(80, 80) /sn:0 /p:[ Ti0>19 Bi0>25 Ri0>27 Ri1>1 Lo0<3 Lo1<1 ] //: joint g92 (w6) @(249, 1375) /w:[ 10 20 9 -1 ] _GGMUX2 #(8, 8) g85 (.I0(w73), .I1(w81), .S(br), .Z(w83)); //: @(-221,1220) /sn:0 /w:[ 1 1 29 0 ] /ss:0 /do:0 //: joint g105 (w71) @(-228, 1102) /w:[ 1 -1 2 4 ] _GGMUX2 #(8, 8) g84 (.I0(w59), .I1(w76), .S(br), .Z(w78)); //: @(-93,1220) /sn:0 /w:[ 1 1 27 0 ] /ss:0 /do:0 //: joint g172 (w7) @(630, 1263) /w:[ 1 -1 2 28 ] //: joint g125 (Inc) @(675, 1088) /w:[ 2 1 -1 4 ] //: joint g144 (w12) @(581, 1303) /w:[ 2 4 -1 1 ] //: joint g141 (w69) @(65, 1303) /w:[ 2 4 -1 1 ] //: joint g101 (br) @(-130, 1242) /w:[ 4 26 3 -1 ] d g81 (._Preset(w7), ._Clear(w6), .Clk(Clk), .D(w68), .Q(w69), ._Q(w9)); //: @(75, 1279) /sz:(80, 80) /sn:0 /p:[ Ti0>21 Bi0>23 Ri0>25 Ri1>1 Lo0<3 Lo1<1 ] //: joint g123 (w20) @(544, 1090) /w:[ 1 2 -1 4 ] _GGAND2 #(6) g116 (.I0(w34), .I1(w51), .Z(w37)); //: @(357,1094) /sn:0 /R:2 /w:[ 7 0 0 ] //: joint g93 (w7) @(114, 1263) /w:[ 9 -1 10 20 ] _GGMUX2 #(8, 8) g54 (.I0(w36), .I1(w46), .S(br), .Z(w39)); //: @(682,1220) /sn:0 /w:[ 1 1 15 0 ] /ss:0 /do:0 //: IN g126 (Inc) @(759,1088) /sn:0 /R:2 /w:[ 3 ] _GGMUX2 #(8, 8) g70 (.I0(w38), .I1(w49), .S(br), .Z(w16)); //: @(551,1220) /sn:0 /w:[ 1 1 17 0 ] /ss:0 /do:0 //: joint g90 (w6) @(-139, 1375) /w:[ 4 26 3 -1 ] //: comment g0 @(664,1192) /sn:0 //: /line:"0" //: /end //: joint g114 (w74) @(159, 1096) /w:[ 1 2 -1 4 ] _GGAND2 #(6) g120 (.I0(w11), .I1(w20), .Z(w51)); //: @(487,1092) /sn:0 /R:2 /w:[ 7 3 3 ] //: joint g66 (w6) @(377, 1375) /w:[ 12 18 11 -1 ] //: joint g97 (br) @(-256, 1242) /w:[ 2 28 1 -1 ] //: joint g82 (w6) @(-12, 1375) /w:[ 6 24 5 -1 ] //: comment g18 @(-239,1192) /sn:0 //: /line:"0" //: /end //: comment g12 @(148,1192) /sn:0 //: /line:"0" //: /end //: IN g128 (Clk) @(764,1335) /sn:0 /R:2 /w:[ 15 ] assign data = {w72, w56, w61, w69, w33, w34, w11, w12}; //: CONCAT g136 @(-284,1555) /sn:0 /R:3 /w:[ 5 0 0 0 0 0 0 0 0 ] /dr:1 /tp:0 /drp:1 //: joint g108 (w52) @(-100, 1100) /w:[ 1 2 -1 4 ] //: joint g91 (w6) @(116, 1375) /w:[ 8 22 7 -1 ] //: IN g173 (Clr) @(-433,1375) /sn:0 /w:[ 0 ] //: joint g134 (Clk) @(43, 1390) /w:[ 4 26 3 -1 ] //: joint g118 (w34) @(411, 1137) /w:[ -1 6 5 8 ] endmodule //: /netlistEnd //: /netlistBegin outR module outR(Clk, bR, data, bus); //: interface /sz:(92, 70) /bd:[ Ti0>bR(22/92) Li0>Clk(32/70) Bi0>bus[7:0](48/92) To01 Li0>17 Li1>1 Bi0>0 Ro0<0 Ro1<1 ] //: joint g37 (Clk) @(681, 417) /w:[ 6 24 5 -1 ] //: IN g34 (Clk) @(285,417) /sn:0 /w:[ 0 ] _GGOR2 #(6) g13 (.I0(w22), .I1(w24), .Z(w2)); //: @(521,278) /sn:0 /R:3 /w:[ 1 1 0 ] _GGAND2 #(6) g3 (.I0(w14), .I1(bR), .Z(w13)); //: @(363,231) /sn:0 /R:3 /w:[ 1 21 0 ] _GGOR2 #(6) g51 (.I0(w47), .I1(w48), .Z(w49)); //: @(1127,275) /sn:0 /R:3 /w:[ 1 1 0 ] _GGOR2 #(6) g55 (.I0(w56), .I1(w57), .Z(w58)); //: @(1281,276) /sn:0 /R:3 /w:[ 1 1 0 ] //: VDD g58 (w61) @(1353,253) /sn:0 /w:[ 0 ] //: joint g86 (w69) @(1543, 301) /w:[ 1 2 4 -1 ] //: joint g77 (bR) @(1267, 197) /w:[ 1 -1 2 32 ] //: joint g76 (bR) @(1113, 197) /w:[ 3 -1 4 30 ] _GGOR2 #(6) g2 (.I0(w12), .I1(w13), .Z(w0)); //: @(375,276) /sn:0 /R:3 /w:[ 1 1 0 ] //: VDD g65 (w74) @(1331,385) /sn:0 /R:2 /w:[ 1 ] _GGAND2 #(6) g59 (.I0(w62), .I1(bR), .Z(w57)); //: @(1269,231) /sn:0 /R:3 /w:[ 0 33 0 ] D g1 (._Preset(w32), .Clk(Clk), .D(w2), ._Clear(w81), .Q(w7), ._Q(w10)); //: @(544, 286) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>27 Li1>1 Bi0>0 Ro0<5 Ro1<1 ] //: VDD g72 (w82) @(426,385) /sn:0 /R:2 /w:[ 0 ] _GGAND2 #(6) g64 (.I0(w72), .I1(bR), .Z(w67)); //: @(1420,230) /sn:0 /R:3 /w:[ 0 0 0 ] _GGOR2 #(6) g16 (.I0(w25), .I1(w26), .Z(w15)); //: @(671,276) /sn:0 /R:3 /w:[ 1 1 0 ] _GGAND2 #(6) g11 (.I0(w7), .I1(w60), .Z(w22)); //: @(534,233) /sn:0 /R:3 /w:[ 0 19 0 ] //: joint g78 (w60) @(989, 178) /w:[ 5 -1 6 24 ] //: VDD g28 (w40) @(743,253) /sn:0 /w:[ 0 ] assign {w72, w62, w52, w18, w16, w19, w17, w14} = bus; //: CONCAT g10 @(401,54) /sn:0 /R:1 /w:[ 1 1 1 1 0 1 1 0 0 ] /dr:1 /tp:0 /drp:0 _GGOR2 #(6) g50 (.I0(w27), .I1(w35), .Z(w36)); //: @(978,276) /sn:0 /R:3 /w:[ 1 1 0 ] //: VDD g27 (w32) @(593,255) /sn:0 /w:[ 0 ] _GGAND2 #(6) g19 (.I0(w19), .I1(bR), .Z(w26)); //: @(659,231) /sn:0 /R:3 /w:[ 0 25 0 ] //: joint g32 (Clk) @(1295, 417) /w:[ 14 16 13 -1 ] assign data = {w69, w59, w50, w44, w37, w29, w7, w1}; //: CONCAT g38 @(536,546) /sn:0 /R:3 /w:[ 0 0 3 0 3 0 0 3 3 ] /dr:0 /tp:0 /drp:1 //: joint g6 (bR) @(361, 197) /w:[ 13 -1 14 20 ] //: VDD g69 (w79) @(877,381) /sn:0 /R:2 /w:[ 1 ] //: joint g75 (bR) @(964, 197) /w:[ 5 -1 6 28 ] //: joint g9 (w60) @(386, 178) /w:[ 13 -1 14 16 ] _GGNBUF #(2) g7 (.I(bR), .Z(w60)); //: @(336,178) /sn:0 /w:[ 17 15 ] //: VDD g53 (w51) @(1199,252) /sn:0 /w:[ 0 ] _GGAND2 #(6) g57 (.I0(w59), .I1(w60), .Z(w56)); //: @(1294,231) /sn:0 /R:3 /w:[ 5 29 0 ] //: joint g20 (bR) @(657, 197) /w:[ 9 -1 10 24 ] //: joint g15 (w60) @(532, 178) /w:[ 11 -1 12 18 ] //: joint g31 (Clk) @(1136, 417) /w:[ 12 18 11 -1 ] //: VDD g71 (w81) @(571,388) /sn:0 /R:2 /w:[ 1 ] //: joint g39 (w1) @(484, 303) /w:[ 2 1 4 -1 ] //: VDD g67 (w77) @(1177,384) /sn:0 /R:2 /w:[ 1 ] //: VDD g68 (w78) @(1029,381) /sn:0 /R:2 /w:[ 1 ] _GGAND2 #(6) g48 (.I0(w44), .I1(w60), .Z(w27)); //: @(991,231) /sn:0 /R:3 /w:[ 5 25 0 ] //: joint g43 (w44) @(1090, 301) /w:[ 2 4 1 -1 ] //: VDD g29 (w41) @(899,253) /sn:0 /w:[ 0 ] _GGAND2 #(6) g25 (.I0(w16), .I1(bR), .Z(w31)); //: @(815,231) /sn:0 /R:3 /w:[ 1 27 0 ] _GGAND2 #(6) g17 (.I0(w29), .I1(w60), .Z(w25)); //: @(684,231) /sn:0 /R:3 /w:[ 3 21 0 ] _GGAND2 #(6) g62 (.I0(w69), .I1(w60), .Z(w66)); //: @(1445,230) /sn:0 /R:3 /w:[ 3 0 0 ] //: joint g73 (w50) @(1245, 301) /w:[ 1 2 4 -1 ] //: joint g42 (w37) @(938, 302) /w:[ 1 2 4 -1 ] _GGAND2 #(6) g52 (.I0(w50), .I1(w60), .Z(w47)); //: @(1140,230) /sn:0 /R:3 /w:[ 3 27 0 ] //: VDD g63 (w71) @(1504,252) /sn:0 /w:[ 0 ] //: joint g74 (bR) @(813, 197) /w:[ 7 -1 8 26 ] //: joint g14 (bR) @(507, 197) /w:[ 11 -1 12 22 ] //: IN g5 (bR) @(285,197) /sn:0 /w:[ 19 ] D g56 (._Preset(w51), .Clk(Clk), .D(w49), ._Clear(w77), .Q(w50), ._Q(w55)); //: @(1150, 283) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>19 Li1>1 Bi0>0 Ro0<5 Ro1<1 ] //: joint g80 (w60) @(1292, 178) /w:[ 1 -1 2 28 ] //: joint g79 (w60) @(1138, 178) /w:[ 3 -1 4 26 ] //: joint g44 (w60) @(838, 178) /w:[ 7 -1 8 22 ] //: VDD g47 (w76) @(1482,387) /sn:0 /R:2 /w:[ 0 ] //: joint g36 (Clk) @(526, 417) /w:[ 4 26 3 -1 ] D g24 (._Preset(w41), .Clk(Clk), .D(w33), ._Clear(w79), .Q(w37), ._Q(w38)); //: @(850, 284) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>23 Li1>1 Bi0>0 Ro0<5 Ro1<1 ] //: joint g21 (w60) @(682, 178) /w:[ 9 -1 10 20 ] //: joint g84 (w59) @(1392, 302) /w:[ 2 4 1 -1 ] //: joint g41 (w29) @(782, 302) /w:[ 1 2 4 -1 ] _GGAND2 #(6) g23 (.I0(w37), .I1(w60), .Z(w23)); //: @(840,231) /sn:0 /R:3 /w:[ 3 23 0 ] //: OUT g81 (data) @(536,586) /sn:0 /R:3 /w:[ 1 ] //: joint g40 (w7) @(632, 304) /w:[ 2 1 4 -1 ] _GGAND2 #(6) g54 (.I0(w52), .I1(bR), .Z(w48)); //: @(1115,230) /sn:0 /R:3 /w:[ 0 31 0 ] _GGOR2 #(6) g60 (.I0(w66), .I1(w67), .Z(w68)); //: @(1432,275) /sn:0 /R:3 /w:[ 1 1 0 ] //: IN g90 (bus) @(401,13) /sn:0 /R:3 /w:[ 1 ] //: joint g35 (Clk) @(381, 417) /w:[ 2 28 1 -1 ] //: VDD g26 (w20) @(448,253) /sn:0 /w:[ 0 ] _GGOR2 #(6) g22 (.I0(w23), .I1(w31), .Z(w33)); //: @(827,276) /sn:0 /R:3 /w:[ 1 1 0 ] D g0 (._Preset(w20), .Clk(Clk), .D(w0), ._Clear(w82), .Q(w1), ._Q(w4)); //: @(399, 285) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>29 Li1>1 Bi0>1 Ro0<5 Ro1<1 ] //: VDD g45 (w43) @(1050,253) /sn:0 /w:[ 0 ] _GGAND2 #(6) g46 (.I0(w18), .I1(bR), .Z(w35)); //: @(966,231) /sn:0 /R:3 /w:[ 0 29 0 ] //: VDD g70 (w80) @(721,394) /sn:0 /R:2 /w:[ 0 ] D g66 (._Preset(w71), .Clk(Clk), .D(w68), ._Clear(w76), .Q(w69), ._Q(w75)); //: @(1455, 283) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>15 Li1>1 Bi0>1 Ro0<5 Ro1<1 ] D g18 (._Preset(w40), .Clk(Clk), .D(w15), ._Clear(w80), .Q(w29), ._Q(w30)); //: @(694, 284) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>25 Li1>1 Bi0>1 Ro0<5 Ro1<1 ] _GGAND2 #(6) g12 (.I0(w17), .I1(bR), .Z(w24)); //: @(509,233) /sn:0 /R:3 /w:[ 0 23 0 ] //: joint g30 (Clk) @(834, 417) /w:[ 8 22 7 -1 ] //: joint g33 (Clk) @(989, 417) /w:[ 10 20 9 -1 ] D g49 (._Preset(w43), .Clk(Clk), .D(w36), ._Clear(w78), .Q(w44), ._Q(w46)); //: @(1002, 283) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>21 Li1>1 Bi0>0 Ro0<0 Ro1<1 ] endmodule //: /netlistEnd //: /netlistBegin zn module zn(x7, x3, x2, x1, x0, N, x5, Z, x6, x4); //: interface /sz:(40, 40) /bd:[ ] /pd: 0 /pi: 0 /pe: 0 /pp: 1 input x1; //: /sn:0 {0}(489,216)(489,249)(438,249)(438,305){1} input x0; //: /sn:0 {0}(512,216)(512,256)(443,256)(443,305){1} output Z; //: /sn:0 {0}(544,347)(426,347)(426,326){1} input x7; //: /sn:0 {0}(339,216)(339,264){1} //: {2}(341,266)(408,266)(408,305){3} //: {4}(339,268)(339,291)(339,291)(339,310){5} input x4; //: /sn:0 {0}(415,216)(415,233)(423,233)(423,305){1} input x6; //: /sn:0 {0}(364,216)(364,251)(413,251)(413,305){1} input x3; //: /sn:0 {0}(438,216)(438,233)(428,233)(428,305){1} input x5; //: /sn:0 {0}(390,216)(390,241)(418,241)(418,305){1} input x2; //: /sn:0 {0}(463,216)(463,241)(433,241)(433,305){1} output N; //: /sn:0 {0}(544,369)(339,369)(339,326){1} //: enddecls //: IN g8 (x7) @(339,214) /sn:0 /R:3 /w:[ 0 ] //: IN g4 (x3) @(438,214) /sn:0 /R:3 /w:[ 0 ] //: comment g13 @(562,325) /sn:0 //: /line:"zero" //: /end //: IN g3 (x2) @(463,214) /sn:0 /R:3 /w:[ 0 ] //: IN g2 (x1) @(489,214) /sn:0 /R:3 /w:[ 0 ] //: IN g1 (x0) @(512,214) /sn:0 /R:3 /w:[ 0 ] _GGBUF #(4) g11 (.I(x7), .Z(N)); //: @(339,316) /sn:0 /R:3 /w:[ 5 1 ] //: OUT g10 (N) @(541,369) /sn:0 /w:[ 0 ] //: IN g6 (x5) @(390,214) /sn:0 /R:3 /w:[ 0 ] //: OUT g9 (Z) @(541,347) /sn:0 /w:[ 0 ] //: IN g7 (x6) @(364,214) /sn:0 /R:3 /w:[ 0 ] //: comment g14 @(562,372) /sn:0 //: /line:"segno" //: /end //: IN g5 (x4) @(415,214) /sn:0 /R:3 /w:[ 0 ] _GGNOR8 #(16) g0 (.I0(x0), .I1(x1), .I2(x2), .I3(x3), .I4(x4), .I5(x5), .I6(x6), .I7(x7), .Z(Z)); //: @(426,316) /sn:0 /R:3 /w:[ 1 1 1 1 1 1 1 3 1 ] //: joint g12 (x7) @(339, 266) /w:[ 2 1 -1 4 ] endmodule //: /netlistEnd //: /netlistBegin A module A(Clr, bw, Clk, data, bus, br); //: interface /sz:(80, 80) /bd:[ Ti0>bw(66/80) Ti1>br(14/80) Li0>Clk(38/80) Ri0>Clr(37/80) To01 Bi0>15 Ri0>15 Ri1>1 Lo0<3 Lo1<0 ] //: joint g51 (br) @(898, 656) /w:[ 16 18 15 -1 ] _GGOR2 #(6) g58 (.I0(w48), .I1(w46), .Z(w27)); //: @(702,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: IN g55 (Clr) @(986,849) /sn:0 /R:2 /w:[ 1 ] //: joint g89 (w11) @(510, 849) /w:[ 9 10 12 -1 ] //: joint g112 (Clk) @(289, 893) /w:[ 17 18 20 -1 ] _GGAND2 #(6) g110 (.I0(w9), .I1(w96), .Z(w93)); //: @(-133,700) /sn:0 /R:3 /w:[ 0 5 0 ] _GGOR2 #(6) g77 (.I0(w64), .I1(w63), .Z(w65)); //: @(428,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g65 (br) @(718, 656) /w:[ 12 -1 11 22 ] //: VDD g111 (w87) @(107,722) /sn:0 /w:[ 0 ] _GGAND2 #(6) g76 (.I0(w9), .I1(w61), .Z(w63)); //: @(416,700) /sn:0 /R:3 /w:[ 23 5 0 ] _GGAND2 #(6) g59 (.I0(br), .I1(w50), .Z(w48)); //: @(715,700) /sn:0 /R:3 /w:[ 23 1 0 ] //: joint g72 (w11) @(647, 849) /w:[ 5 6 8 -1 ] //: VDD g99 (w84) @(-167,722) /sn:0 /w:[ 0 ] _GGAND2 #(6) g98 (.I0(br), .I1(w83), .Z(w82)); //: @(29,700) /sn:0 /R:3 /w:[ 33 1 0 ] //: joint g64 (Clk) @(839, 893) /w:[ 1 2 4 -1 ] _GGAND2 #(6) g96 (.I0(w9), .I1(w78), .Z(w81)); //: @(4,700) /sn:0 /R:3 /w:[ 29 5 0 ] //: joint g122 (w53) @(595, 770) /w:[ 2 1 -1 4 ] _GGOR2 #(6) g103 (.I0(w86), .I1(w91), .Z(w88)); //: @(153,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g121 (w36) @(732, 769) /w:[ 2 1 -1 4 ] //: IN g130 (bw) @(986,1064) /sn:0 /R:2 /w:[ 0 ] //: VDD g87 (w70) @(245,722) /sn:0 /w:[ 0 ] _GGAND2 #(6) g78 (.I0(br), .I1(w66), .Z(w64)); //: @(441,700) /sn:0 /R:3 /w:[ 27 1 0 ] _GGNBUF #(2) g50 (.I(br), .Z(w9)); //: @(872,632) /sn:0 /R:2 /w:[ 19 15 ] _GGBUFIF8 #(4, 6) g129 (.Z(bus), .I(data), .E(bw)); //: @(697,1062) /sn:0 /R:3 /w:[ 3 0 1 ] d g113 (._Preset(w84), ._Clear(w11), .Clk(Clk), .D(w94), .Q(w96), ._Q(w97)); //: @(-217, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>29 Ri0>29 Ri1>1 Lo0<3 Lo1<0 ] //: joint g132 (data) @(697, 1027) /w:[ 2 4 -1 1 ] d g102 (._Preset(w87), ._Clear(w11), .Clk(Clk), .D(w88), .Q(w89), ._Q(w90)); //: @(57, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>23 Ri0>23 Ri1>1 Lo0<3 Lo1<0 ] _GGAND2 #(6) g69 (.I0(br), .I1(w59), .Z(w57)); //: @(578,700) /sn:0 /R:3 /w:[ 25 1 0 ] //: joint g115 (br) @(169, 656) /w:[ 4 -1 3 30 ] assign {w95, w83, w85, w68, w66, w59, w50, w49} = bus; //: CONCAT g119 @(901,544) /sn:0 /w:[ 0 0 0 0 0 0 0 0 0 ] /dr:0 /tp:0 /drp:0 //: IN g57 (Clk) @(986,893) /sn:0 /R:2 /w:[ 0 ] //: joint g75 (w9) @(556, 632) /w:[ 10 -1 9 20 ] //: joint g53 (w9) @(830, 632) /w:[ 14 -1 13 16 ] d g71 (._Preset(w60), ._Clear(w11), .Clk(Clk), .D(w58), .Q(w54), ._Q(w62)); //: @(469, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>11 Ri0>11 Ri1>1 Lo0<3 Lo1<0 ] //: joint g124 (w61) @(321, 770) /w:[ 2 4 -1 1 ] //: joint g127 (w78) @(-91, 770) /w:[ 2 4 -1 1 ] _GGAND2 #(6) g67 (.I0(w9), .I1(w54), .Z(w56)); //: @(553,700) /sn:0 /R:3 /w:[ 21 5 0 ] //: OUT g131 (data) @(986,1027) /sn:0 /w:[ 3 ] _GGOR2 #(6) g68 (.I0(w57), .I1(w56), .Z(w58)); //: @(565,745) /sn:0 /R:3 /w:[ 1 1 0 ] _GGOR2 #(6) g48 (.I0(w47), .I1(w44), .Z(w18)); //: @(839,744) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g104 (Clk) @(151, 893) /w:[ 21 22 24 -1 ] //: joint g88 (Clk) @(563, 893) /w:[ 9 10 12 -1 ] //: joint g73 (Clk) @(701, 893) /w:[ 5 6 8 -1 ] //: joint g62 (w11) @(784, 849) /w:[ 1 2 4 -1 ] d g63 (._Preset(w51), ._Clear(w11), .Clk(Clk), .D(w27), .Q(w53), ._Q(w55)); //: @(606, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>7 Ri0>7 Ri1>1 Lo0<3 Lo1<0 ] _GGAND2 #(6) g107 (.I0(br), .I1(w95), .Z(w92)); //: @(-108,700) /sn:0 /R:3 /w:[ 0 1 0 ] //: joint g106 (w11) @(-39, 849) /w:[ 25 26 28 -1 ] //: joint g52 (br) @(855, 656) /w:[ 14 -1 13 20 ] _GGOR2 #(6) g83 (.I0(w69), .I1(w74), .Z(w71)); //: @(291,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: VDD g109 (w77) @(-30,722) /sn:0 /w:[ 0 ] //: joint g74 (br) @(581, 656) /w:[ 10 -1 9 24 ] _GGAND2 #(6) g100 (.I0(br), .I1(w85), .Z(w86)); //: @(166,700) /sn:0 /R:3 /w:[ 31 1 0 ] _GGNBUF #(2) g56 (.I(Clr), .Z(w11)); //: @(920,849) /sn:0 /R:2 /w:[ 0 0 ] //: INOUT g133 (bus) @(697,1147) /sn:0 /R:1 /w:[ 5 ] //: joint g117 (br) @(32, 656) /w:[ 2 -1 1 32 ] d g95 (._Preset(w77), ._Clear(w11), .Clk(Clk), .D(w67), .Q(w78), ._Q(w79)); //: @(-80, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>27 Ri0>27 Ri1>1 Lo0<3 Lo1<0 ] //: joint g79 (w11) @(373, 849) /w:[ 13 14 16 -1 ] //: joint g94 (w11) @(98, 849) /w:[ 21 22 24 -1 ] _GGAND2 #(6) g80 (.I0(br), .I1(w68), .Z(w69)); //: @(304,700) /sn:0 /R:3 /w:[ 29 1 0 ] _GGAND2 #(6) g47 (.I0(br), .I1(w49), .Z(w47)); //: @(852,699) /sn:0 /R:3 /w:[ 21 1 0 ] //: joint g92 (br) @(307, 656) /w:[ 6 -1 5 28 ] //: VDD g85 (w75) @(382,722) /sn:0 /w:[ 0 ] _GGOR2 #(6) g105 (.I0(w92), .I1(w93), .Z(w94)); //: @(-121,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g84 (Clk) @(427, 893) /w:[ 13 14 16 -1 ] //: joint g125 (w72) @(184, 770) /w:[ 2 4 -1 1 ] _GGAND2 #(6) g101 (.I0(w9), .I1(w89), .Z(w91)); //: @(141,700) /sn:0 /R:3 /w:[ 27 5 0 ] _GGAND2 #(6) g81 (.I0(w9), .I1(w72), .Z(w74)); //: @(279,700) /sn:0 /R:3 /w:[ 25 5 0 ] //: VDD g60 (w51) @(656,722) /sn:0 /w:[ 0 ] //: joint g123 (w54) @(458, 770) /w:[ 2 4 -1 1 ] //: joint g116 (w9) @(144, 632) /w:[ 4 -1 3 26 ] //: joint g93 (w9) @(282, 632) /w:[ 6 -1 5 24 ] //: VDD g54 (w45) @(793,721) /sn:0 /w:[ 0 ] //: joint g126 (w89) @(46, 770) /w:[ 2 4 -1 1 ] //: VDD g70 (w60) @(519,722) /sn:0 /w:[ 0 ] d g45 (._Preset(w45), ._Clear(w11), .Clk(Clk), .D(w18), .Q(w36), ._Q(w35)); //: @(743, 745) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>3 Ri0>3 Ri1>1 Lo0<3 Lo1<0 ] //: joint g90 (br) @(444, 656) /w:[ 8 -1 7 26 ] _GGAND2 #(6) g46 (.I0(w9), .I1(w36), .Z(w44)); //: @(827,699) /sn:0 /R:3 /w:[ 17 0 0 ] assign data = {w96, w78, w89, w72, w61, w54, w53, w36}; //: CONCAT g120 @(697,997) /sn:0 /R:3 /w:[ 5 0 0 0 0 0 0 5 5 ] /dr:1 /tp:0 /drp:1 //: joint g114 (w11) @(236, 849) /w:[ 17 18 20 -1 ] _GGOR2 #(6) g97 (.I0(w82), .I1(w81), .Z(w67)); //: @(16,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g66 (w9) @(693, 632) /w:[ 12 -1 11 18 ] d g82 (._Preset(w70), ._Clear(w11), .Clk(Clk), .D(w71), .Q(w72), ._Q(w73)); //: @(195, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>19 Ri0>19 Ri1>1 Lo0<3 Lo1<0 ] //: joint g128 (w96) @(-228, 770) /w:[ 2 4 -1 1 ] //: joint g108 (Clk) @(15, 893) /w:[ 25 26 28 -1 ] //: joint g91 (w9) @(419, 632) /w:[ 8 -1 7 22 ] //: joint g118 (w9) @(7, 632) /w:[ 2 -1 1 28 ] //: joint g134 (bus) @(697, 1111) /w:[ 1 2 -1 4 ] //: IN g49 (br) @(986,656) /sn:0 /R:2 /w:[ 17 ] endmodule //: /netlistEnd //: /netlistBegin SUB module SUB(bW, A, B, bus); //: interface /sz:(74, 55) /bd:[ Ti0>bW(61/74) Ti1>B[7:0](37/74) Ti2>A[7:0](12/74) Bo00 Ti1>0 Li0>9 Ri0>11 To0<0 Bt0=0 ] //: joint g51 (w3) @(452, 871) /w:[ -1 6 8 5 ] assign {w19, w25} = w31; //: CONCAT g3 @(450,606) /sn:0 /R:2 /w:[ 1 1 0 ] /dr:1 /tp:0 /drp:0 MAR g16 (.bw(w12), .br(w11), .Clk(w3), .Clr(w9), .data(w14), .bus(w54)); //: @(346, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>0 Ti1>0 Li0>11 Ri0>13 To0<1 Bt0=7 ] _GGAND2 #(6) g121 (.I0(w59), .I1(!w61), .Z(w3)); //: @(-62,871) /sn:0 /w:[ 1 1 17 ] //: comment g171 @(-135,909) /sn:0 //: /line:"stop" //: /end assign {w4, w58, w57, w56, w55, w35, w0, w39, w36, w32, w31, w29, w30, w28} = w5; //: CONCAT g32 @(-20,971) /sn:0 /w:[ 1 1 1 1 1 1 0 1 1 1 1 1 0 1 0 ] /dr:0 /tp:0 /drp:0 //: SWITCH g6 (w9) @(351,930) /sn:0 /R:3 /w:[ 15 ] /st:1 /dn:0 //: joint g69 (w9) @(320, 883) /w:[ 4 -1 3 14 ] //: comment g7 @(375,925) /sn:0 //: /line:"clear" //: /end //: joint g53 (w54) @(508, 902) /w:[ 2 1 4 -1 ] //: joint g57 (w9) @(566, 883) /w:[ 8 10 7 -1 ] //: joint g169 (w3) @(-41, 871) /w:[ -1 13 14 16 ] assign {w7, w6, w8} = w30; //: CONCAT g39 @(590,582) /sn:0 /R:2 /w:[ 1 1 1 1 ] /dr:1 /tp:0 /drp:0 //: joint g17 (w3) @(333, 871) /w:[ -1 4 10 3 ] //: joint g73 (w9) @(206, 883) /w:[ 2 16 1 -1 ] //: joint g42 (w3) @(93, 871) /w:[ -1 2 1 12 ] //: comment g174 @(-169,809) /sn:0 //: /line:"resume" //: /end CTRL ctrl (.I(w22), .Clk(w3), .Clr(w9), .CON(w5)); //: @(-21, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Li0>15 Ri0>0 Bo0<1 ] RAM ram (.Addr(w14), .br(w15), .bw(w16), .bus(w54)); //: @(225, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>0 Ti1>0 Ti2>0 Bt0=11 ] //: joint g14 (w54) @(267, 902) /w:[ 9 10 12 -1 ] _GGAND2 #(6) g172 (.I0(!w60), .I1(w4), .Z(w61)); //: @(-111,873) /sn:0 /w:[ 1 0 0 ] assign w59 = w2[11]; //: TAP g159 @(-129,779) /sn:0 /R:1 /w:[ 0 1 2 ] /ss:1 assign {w24, w20} = w39; //: CONCAT g24 @(97,672) /sn:0 /R:2 /w:[ 1 0 0 ] /dr:1 /tp:0 /drp:0 assign {w16, w15} = w36; //: CONCAT g23 @(216,648) /sn:0 /R:2 /w:[ 1 1 0 ] /dr:1 /tp:0 /drp:0 //: joint g144 (w54) @(388, 902) /w:[ 5 6 8 -1 ] IR g40 (.bw(w24), .br(w20), .Clk(w3), .Clr(w9), .data(w22), .bus(w54)); //: @(107, 747) /sz:(80, 80) /sn:0 /p:[ Ti0>0 Ti1>1 Li0>0 Ri0>17 To0<0 Bt0=13 ] PC g0 (.br(w8), .bw(w6), .Clk(w3), .Clr(w9), .Inc(w7), .data(w18), .bus(w54)); //: @(601, 746) /sz:(84, 80) /sn:0 /p:[ Ti0>0 Ti1>0 Li0>7 Ri0>9 Ri1>0 To0<0 Bt0=3 ] //: joint g18 (w9) @(439, 883) /w:[ 6 12 5 -1 ] oscillator g12 (.F0(w1), .Fdiv(w2)); //: @(-139, 687) /sz:(80, 80) /R:3 /sn:0 /p:[ Bo0<0 Bo1<3 ] //: SWITCH g173 (w60) @(-151,837) /sn:0 /R:3 /w:[ 0 ] /st:0 /dn:0 endmodule //: /netlistEnd //: /netlistBegin RAM module RAM(bw, Addr, bus, br); //: interface /sz:(80, 80) /bd:[ Ti0>bw(66/80) Ti1>br(14/80) Ti2>Addr[7:0](40/80) Bt0=bus[7:0](42/80) ] /pd: 0 /pi: 0 /pe: 1 /pp: 1 inout [7:0] bus; //: /sn:0 {0}(#:533,285)(#:449,285){1} input [7:0] Addr; //: /sn:0 {0}(#:309,287)(414,287){1} input br; //: /sn:0 {0}(432,225)(432,200)(385,200){1} //: {2}(383,198)(383,182){3} //: {4}(383,202)(383,332)(395,332){5} input bw; //: /sn:0 {0}(383,402)(383,384){1} //: {2}(385,382)(439,382)(439,369){3} //: {4}(383,380)(383,337)(395,337){5} wire w4; //: /sn:0 {0}(432,262)(432,241){1} wire w0; //: /sn:0 {0}(439,353)(439,312){1} wire w1; //: /sn:0 {0}(425,312)(425,335)(416,335){1} //: enddecls //: joint g8 (bw) @(383, 382) /w:[ 2 4 -1 1 ] //: IN g4 (bw) @(383,404) /sn:0 /R:1 /w:[ 0 ] //: IN g3 (Addr) @(307,287) /sn:0 /w:[ 0 ] //: INOUT g2 (bus) @(541,285) /sn:0 /R:2 /w:[ 0 ] //: IN g1 (br) @(383,180) /sn:0 /R:3 /w:[ 3 ] _GGNBUF #(2) g6 (.I(br), .Z(w4)); //: @(432,231) /sn:0 /R:3 /w:[ 0 1 ] //: joint g9 (br) @(383, 200) /w:[ 1 2 -1 4 ] _GGXOR2 #(8) g7 (.I0(br), .I1(bw), .Z(w1)); //: @(406,335) /sn:0 /w:[ 5 5 1 ] _GGRAM8x8 #(10, 60, 70, 10, 10, 10) m3 (.A(Addr), .D(bus), .WE(w4), .OE(w0), .CS(~w1)); //: @(432,286) /w:[ 1 1 0 1 0 ] _GGNBUF #(2) g5 (.I(bw), .Z(w0)); //: @(439,363) /sn:0 /R:1 /w:[ 3 0 ] //: comment g0 @(593,201) /sn:0 //: /line:"Il modulo della memoria non ha" //: /line:"una linea di clock, per cui," //: /line:"quando c'� il segnale di lettura" //: /line:"attivo, il bus riceve il valore" //: /line:"dalla memoria, per tutto il tempo." //: /line:"" //: /line:"Questo aspetto comporta l'impossibilit�" //: /line:"di pilotare direttamente il registro" //: /line:"MAR dall'uscita della memoria: per questo" //: /line:"occorre copiare il valore nel registro I" //: /line:"e poi, da l�, trasferire il valore" //: /line:"nel registro MAR." //: /end endmodule //: /netlistEnd //: /netlistBegin xxx module xxx(); //: interface /sz:(40, 40) /bd:[ ] /pd: 0 /pi: 0 /pe: 1 /pp: 1 supply0 w31; //: /sn:0 {0}(162,405)(162,399)(226,399){1} reg [7:0] w29; //: /sn:0 {0}(#:265,341)(265,378)(253,378){1} //: {2}(251,376)(#:251,370){3} //: {4}(251,380)(251,381){5} wire [15:0] w27; //: /sn:0 {0}(354,435)(354,443)(#:253,443)(#:253,416){1} //: enddecls //: joint g124 (w29) @(251, 378) /w:[ 1 2 -1 4 ] //: GROUND g125 (w31) @(162,411) /sn:0 /w:[ 0 ] //: DIP g123 (w29) @(265,331) /sn:0 /w:[ 0 ] /st:0 /dn:1 _GGROM8x16 #(10, 30) mc0 (.A(w29), .D(w27), .OE(w31)); //: @(252,399) /sn:0 /R:3 /w:[ 5 1 1 ] /mem:"/home/A2/A2/work-in-progress/simple-a-0106.mem" //: LED g0 (w27) @(354,428) /sn:0 /w:[ 0 ] /type:1 endmodule //: /netlistEnd //: /netlistBegin SEL module SEL(Fi, B, A, bus, f, bw); //: interface /sz:(128, 80) /bd:[ Ti0>f[2:0](11/128) Ti1>A[7:0](43/128) Ti2>B[7:0](77/128) Ti3>bw(108/128) Li0>Fi[7:0](35/80) Bo0Clk(22/48) Ri0>Clr(20/48) Bo01 Li0>3 Li1>15 Bi0>23 Ro0<0 Ro1<5 ] //: joint g3 (Clk) @(383, 359) /w:[ 25 26 28 -1 ] //: IN g2 (Clk) @(284,359) /sn:0 /w:[ 29 ] D g1 (._Preset(w14), .D(w4), .Clk(Clk), ._Clear(w1), ._Q(w11), .Q(w10)); //: @(524, 218) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>3 Li1>23 Bi0>27 Ro0<0 Ro1<5 ] D g16 (._Preset(w16), .D(w10), .Clk(Clk), ._Clear(w1), ._Q(w39), .Q(w30)); //: @(643, 218) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>0 Li1>19 Bi0>25 Ro0<0 Ro1<5 ] D g11 (._Preset(w20), .D(w8), .Clk(Clk), ._Clear(w1), ._Q(w12), .Q(w13)); //: @(1018, 217) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>3 Li1>7 Bi0>19 Ro0<0 Ro1<5 ] //: VDD g28 (w17) @(816,199) /sn:0 /w:[ 0 ] //: joint g10 (Clk) @(755, 359) /w:[ 13 14 16 -1 ] //: VDD g32 (w23) @(1316,199) /sn:0 /w:[ 0 ] //: VDD g27 (w16) @(692,199) /sn:0 /w:[ 0 ] //: joint g19 (Clk) @(879, 359) /w:[ 9 10 12 -1 ] //: joint g38 (w8) @(988, 237) /w:[ 2 1 4 -1 ] _GGNBUF #(2) g6 (.I(Clr), .Z(w1)); //: @(328,325) /sn:0 /w:[ 0 0 ] //: joint g9 (w1) @(681, 325) /w:[ 6 24 5 -1 ] //: joint g7 (w1) @(562, 325) /w:[ 4 26 3 -1 ] //: VDD g31 (w21) @(1192,199) /sn:0 /w:[ 0 ] //: joint g20 (Clk) @(1004, 359) /w:[ 5 6 8 -1 ] D g15 (._Preset(w21), .D(w13), .Clk(Clk), ._Clear(w1), ._Q(w28), .Q(w19)); //: @(1143, 217) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>3 Li1>0 Bi0>17 Ro0<0 Ro1<5 ] //: joint g39 (w13) @(1112, 235) /w:[ 2 1 4 -1 ] //: joint g25 (w1) @(366, 325) /w:[ 2 28 1 -1 ] //: VDD g29 (w18) @(943,200) /sn:0 /w:[ 0 ] //: joint g17 (w1) @(805, 325) /w:[ 8 22 7 -1 ] //: OUT g42 (T) @(536,-16) /sn:0 /R:1 /w:[ 0 ] D g14 (._Preset(w18), .D(w3), .Clk(Clk), ._Clear(w1), ._Q(w9), .Q(w8)); //: @(894, 217) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>3 Li1>11 Bi0>21 Ro0<0 Ro1<5 ] //: IN g5 (Clr) @(283,325) /sn:0 /w:[ 1 ] //: joint g36 (w30) @(737, 238) /w:[ 2 1 4 -1 ] //: VDD g24 (w0) @(427,308) /sn:0 /R:2 /w:[ 0 ] //: joint g21 (w1) @(1056, 325) /w:[ 12 18 11 -1 ] //: joint g41 (w2) @(1355, 164) /w:[ -1 1 2 4 ] //: joint g23 (Clk) @(1126, 359) /w:[ 2 1 4 -1 ] //: joint g40 (w19) @(1238, 237) /w:[ 2 1 4 -1 ] //: joint g35 (w10) @(614, 236) /w:[ 1 2 4 -1 ] //: VDD g26 (w14) @(573,199) /sn:0 /w:[ 0 ] //: joint g22 (w1) @(1181, 325) /w:[ 14 16 13 -1 ] D g0 (._Preset(w1), .D(w2), .Clk(Clk), ._Clear(w0), ._Q(w5), .Q(w4)); //: @(400, 218) /sz:(74, 75) /sn:0 /p:[ Ti0>29 Li0>3 Li1>27 Bi0>1 Ro0<0 Ro1<0 ] //: joint g18 (w1) @(932, 325) /w:[ 10 20 9 -1 ] D g12 (._Preset(w23), .D(w19), .Clk(Clk), ._Clear(w1), ._Q(w22), .Q(w2)); //: @(1267, 217) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>3 Li1>3 Bi0>15 Ro0<0 Ro1<5 ] assign T = {w2, w19, w13, w8, w3, w30, w10, w4}; //: CONCAT g33 @(536,15) /sn:0 /R:1 /w:[ 1 0 0 0 0 0 0 3 5 ] /dr:1 /tp:0 /drp:1 //: VDD g30 (w20) @(1067,199) /sn:0 /w:[ 0 ] endmodule //: /netlistEnd //: /netlistBegin ALU module ALU(bus, f, A, B, bw, Fi, Fo); //: interface /sz:(106, 80) /bd:[ Ti0>f[3:0](14/106) Ti1>A[7:0](36/106) Ti2>B[7:0](63/106) Ti3>bw(86/106) Ri0>Fi[7:0](38/80) Lo01 Ti1>3 Ti2>13 Ti3>1 Ti4>1 Ri0>7 Lo0<3 Bo0<0 Bo1<3 ] _GGMUX16 #(36, 36) g72 (.I0(w5), .I1(w5), .I2(w5), .I3(w5), .I4(w13), .I5(w13), .I6(w13), .I7(w13), .I8(w13), .I9(w13), .I10(w13), .I11(w13), .I12(w38), .I13(w38), .I14(w38), .I15(w38), .S(f), .Z(w41)); //: @(576,699) /sn:0 /w:[ 13 11 7 0 13 27 25 21 17 11 7 0 5 9 11 3 13 1 ] /ss:0 /do:0 //: joint g64 (w13) @(567, 635) /w:[ 16 15 -1 18 ] //: joint g11 (A) @(374, 79) /w:[ 8 -1 7 14 ] _GGNBUF8 #(2) g16 (.I(A), .Z(w35)); //: @(240,279) /sn:0 /R:3 /w:[ 21 1 ] //: joint g10 (A) @(328, 79) /w:[ 6 -1 5 16 ] _GGDECODER16 #(6, 6) g28 (.I(f), .E(w24), .Z0(w18), .Z1(w19), .Z2(w21), .Z3(w22), .Z4(w40), .Z5(w16), .Z6(w4), .Z7(w25), .Z8(w8), .Z9(w28), .Z10(w23), .Z11(w15), .Z12(w27), .Z13(w53), .Z14(w0), .Z15(w37)); //: @(130,331) /sn:0 /R:1 /w:[ 5 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3 ] /ss:1 /do:1 //: joint g50 (w6) @(406, 566) /w:[ 14 13 -1 16 ] //: joint g78 (w26) @(702, 689) /w:[ 1 2 -1 4 ] //: joint g19 (w12) @(723, 423) /w:[ -1 7 8 10 ] //: IN g27 (f) @(22,461) /sn:0 /w:[ 7 ] //: joint g32 (w25) @(454, 109) /w:[ 2 -1 1 4 ] //: IN g6 (A) @(240,1) /sn:0 /R:3 /w:[ 0 ] _GGBUFIF8 #(4, 6) g38 (.Z(bus), .I(w26), .E(bw)); //: @(702,717) /sn:0 /R:3 /w:[ 1 5 1 ] //: joint g69 (w13) @(567, 602) /w:[ 6 5 -1 8 ] //: IN g7 (B) @(741,1) /sn:0 /R:3 /w:[ 0 ] //: joint g9 (A) @(284, 79) /w:[ 4 -1 3 18 ] //: joint g53 (w3) @(424, 581) /w:[ 24 23 -1 26 ] //: joint g57 (w3) @(424, 516) /w:[ 6 5 -1 8 ] //: joint g75 (w5) @(549, 673) /w:[ 10 9 -1 12 ] //: joint g15 (B) @(333, 58) /w:[ 5 -1 6 8 ] _GGOR4 #(10) g31 (.I0(w15), .I1(w28), .I2(w25), .I3(w16), .Z(w9)); //: @(457,190) /sn:0 /R:3 /w:[ 5 5 5 1 0 ] //: joint g71 (w13) @(567, 620) /w:[ 10 9 12 14 ] //: joint g20 (w12) @(723, 391) /w:[ 2 4 1 6 ] //: IN g39 (bw) @(778,719) /sn:0 /R:2 /w:[ 0 ] //: joint g67 (w5) @(549, 652) /w:[ 6 5 -1 8 ] //: joint g68 (w13) @(567, 667) /w:[ 24 23 -1 26 ] //: joint g48 (w6) @(626, 271) /w:[ -1 5 6 8 ] //: joint g43 (w6) @(795, 180) /w:[ 2 -1 4 1 ] //: joint g17 (A) @(240, 79) /w:[ 2 1 -1 20 ] //: joint g25 (w14) @(699, 351) /w:[ -1 10 9 20 ] //: VDD g29 (w24) @(141,279) /sn:0 /w:[ 0 ] //: joint g73 (w13) @(567, 650) /w:[ 20 19 -1 22 ] //: comment g62 @(-11,507) /sn:0 //: /line:"f=0: NOT A (Carry e Overflow invariati)" //: /line:"f=1: A AND B (Carry e Overflow invariati)" //: /line:"f=2: A OR B (Carry e Overflow invariati)" //: /line:"f=3: A XOR B (Carry e Overflow invariati)" //: /line:"f=4: logic shift left" //: /line:"f=5: logic shift right" //: /line:"f=6: arithmetic shift left" //: /line:"f=7: arithmetic shift right" //: /line:"f=8: rotation left" //: /line:"f=9: rotation right" //: /line:"f=10: rotation left with carry" //: /line:"f=11: rotation right with carry" //: /line:"f=12: A plus B with carry" //: /line:"f=13: A minus B with carry" //: /line:"f=14: A plus B with no carry" //: /line:"f=15: A minus B with no carry" //: /end //: comment g42 @(924,-4) /sn:0 //: /line:"b0 = carry" //: /line:"b1 = zero" //: /line:"b2 = negative" //: /line:"b3 = overflow" //: /end //: joint g63 (w5) @(549, 635) /w:[ 1 2 -1 4 ] //: joint g83 (w56) @(1033, 702) /w:[ 2 4 6 1 ] //: joint g74 (w38) @(598, 664) /w:[ -1 7 8 10 ] //: joint g14 (B) @(379, 58) /w:[ 3 -1 4 10 ] _GGMUX16x8 #(36, 36) g5 (.I0(w35), .I1(w2), .I2(w20), .I3(w17), .I4(w14), .I5(w14), .I6(w14), .I7(w14), .I8(w14), .I9(w14), .I10(w14), .I11(w14), .I12(w12), .I13(w12), .I14(w12), .I15(w12), .S(f), .Z(w26)); //: @(701,461) /sn:0 /w:[ 0 0 0 0 15 17 19 21 23 25 27 29 0 9 11 3 0 3 ] /ss:0 /do:0 //: joint g56 (w3) @(424, 534) /w:[ 10 9 12 14 ] //: comment g44 @(1059,691) /sn:0 //: /line:"b0 = carry" //: /line:"b1 = zero" //: /line:"b2 = negative" //: /line:"b3 = overflow" //: /end _GGMUX16 #(36, 36) g47 (.I0(w6), .I1(w6), .I2(w6), .I3(w6), .I4(w3), .I5(w3), .I6(w3), .I7(w3), .I8(w3), .I9(w3), .I10(w3), .I11(w3), .I12(w7), .I13(w7), .I14(w7), .I15(w7), .S(f), .Z(w29)); //: @(433,613) /sn:0 /w:[ 21 19 15 11 13 27 25 21 17 11 7 0 5 11 9 3 11 1 ] /ss:0 /do:0 //: joint g79 (w39) @(897, 724) /w:[ -1 2 4 1 ] //: joint g80 (f) @(268, 461) /w:[ 1 -1 2 8 ] //: joint g21 (w14) @(713, 351) /w:[ 2 1 -1 28 ] //: joint g24 (w14) @(702, 351) /w:[ 8 -1 7 22 ] //: joint g36 (w15) @(464, 157) /w:[ 2 -1 1 4 ] //: joint g84 (w56) @(1024, 743) /w:[ 8 7 -1 10 ] _GGOR2 #(6) g85 (.I0(w37), .I1(w0), .Z(w34)); //: @(169,392) /sn:0 /R:3 /w:[ 5 1 1 ] //: joint g23 (w14) @(706, 351) /w:[ 5 -1 6 24 ] assign {w51, w50, w49, w43, w5, w36, w32, w6} = Fi; //: CONCAT g41 @(900,63) /sn:0 /R:1 /w:[ 0 0 0 0 3 0 1 3 0 ] /dr:1 /tp:0 /drp:0 //: IN g40 (Fi) @(900,6) /sn:0 /R:3 /w:[ 1 ] //: joint g54 (w3) @(424, 564) /w:[ 20 19 -1 22 ] //: joint g60 (w7) @(452, 578) /w:[ 8 7 -1 10 ] //: joint g81 (f) @(268, 613) /w:[ 10 9 -1 12 ] as g0 (.B(B), .A(A), .f(w31), .Ci(w30), .Co(w7), .S(w12), .O(w38)); //: @(679, 232) /sz:(80, 80) /sn:0 /p:[ Ti0>13 Ti1>11 Ti2>1 Ri0>0 Lo0<0 Bo0<5 Bo1<0 ] //: joint g22 (w14) @(709, 351) /w:[ 3 -1 4 26 ] //: joint g26 (w14) @(695, 351) /w:[ 12 11 -1 18 ] //: joint g35 (w28) @(459, 130) /w:[ 2 -1 1 4 ] assign Fo = {w56, w56, w56, w56, w41, w39, w33, w29}; //: CONCAT g45 @(1048,758) /sn:0 /w:[ 0 3 0 9 11 0 0 0 0 ] /dr:0 /tp:0 /drp:1 //: OUT g46 (Fo) @(1160,758) /sn:0 /w:[ 1 ] _GGOR2 #(6) g70 (.I0(w53), .I1(w37), .Z(w31)); //: @(193,377) /sn:0 /R:3 /w:[ 1 0 0 ] //: GROUND g82 (w56) @(1007,726) /sn:0 /w:[ 5 ] //: joint g66 (w38) @(598, 637) /w:[ 2 1 4 6 ] //: joint g12 (A) @(522, 79) /w:[ 10 -1 9 12 ] //: joint g18 (w7) @(452, 554) /w:[ 2 1 4 6 ] //: joint g30 (f) @(86, 461) /w:[ 3 4 6 -1 ] _GGOR2 #(6) g33 (.I0(w28), .I1(w8), .Z(w10)); //: @(553,204) /sn:0 /R:3 /w:[ 3 1 0 ] //: joint g49 (w6) @(406, 587) /w:[ 18 17 -1 20 ] endmodule //: /netlistEnd //: /netlistBegin tests module tests(); //: interface /sz:(40, 40) /bd:[ ] /pd: 0 /pi: 0 /pe: 1 /pp: 1 reg w6; //: /sn:0 {0}(232,214)(215,214)(215,216)(198,216){1} reg w45; //: /sn:0 {0}(1092,282)(1057,282){1} reg w7; //: /sn:0 {0}(253,180)(247,180)(247,128){1} reg w46; //: /sn:0 {0}(908,534)(929,534)(929,509)(964,509){1} reg w16; //: /sn:0 {0}(630,605)(560,605)(560,426)(615,426){1} //: {2}(617,424)(617,412)(589,412)(589,344){3} //: {4}(617,428)(617,438)(607,438)(607,440){5} reg w14; //: /sn:0 {0}(181,550)(171,550)(171,480)(194,480)(194,446)(182,446){1} reg w19; //: /sn:0 {0}(511,467)(532,467)(532,476)(590,476){1} reg w15; //: /sn:0 {0}(294,415)(294,410)(309,410)(309,445)(350,445){1} reg [7:0] w0; //: /sn:0 {0}(#:583,272)(583,248){1} reg [7:0] w21; //: /sn:0 {0}(#:635,648)(635,613){1} reg w20; //: /sn:0 {0}(689,474)(714,474)(714,475)(737,475){1} reg w18; //: /sn:0 {0}(668,440)(668,396)(650,396)(650,369){1} reg w8; //: /sn:0 {0}(289,131)(289,164)(332,164)(332,137){1} reg [7:0] w12; //: /sn:0 {0}(#:257,369)(257,394)(262,394)(262,420){1} reg w10; //: /sn:0 {0}(561,146)(561,163)(562,163)(562,178){1} reg [7:0] w13; //: /sn:0 {0}(186,558)(#:186,585){1} reg w5; //: /sn:0 {0}(318,237)(331,237)(331,216)(337,216){1} reg w48; //: /sn:0 {0}(907,475)(925,475)(925,477)(964,477){1} reg w9; //: /sn:0 {0}(503,208)(522,208)(522,209)(542,209){1} reg w50; //: /sn:0 {0}(909,594)(947,594)(947,525)(964,525){1} wire w32; //: /sn:0 {0}(948,92)(937,92){1} wire w56; //: /sn:0 {0}(288,405)(288,420){1} wire [3:0] w4; //: /sn:0 {0}(274,257)(#:274,303)(296,303)(296,295){1} wire w38; //: /sn:0 {0}(952,188)(937,188){1} wire w51; //: /sn:0 {0}(297,171)(297,186){1} wire [3:0] w3; //: /sn:0 {0}(#:633,165)(595,165)(595,178){1} wire w37; //: /sn:0 {0}(952,172)(937,172){1} wire w34; //: /sn:0 {0}(952,124)(937,124){1} wire w43; //: /sn:0 {0}(952,268)(937,268){1} wire [7:0] w54; //: /sn:0 {0}(#:271,171)(271,186){1} wire w31; //: /sn:0 {0}(952,76)(937,76){1} wire w28; //: /sn:0 {0}(1167,350)(1182,350){1} wire w41; //: /sn:0 {0}(952,236)(937,236){1} wire w36; //: /sn:0 {0}(952,156)(937,156){1} wire w24; //: /sn:0 {0}(1167,310)(1182,310){1} wire w23; //: /sn:0 {0}(1182,300)(1167,300){1} wire [3:0] w1; //: /sn:0 {0}(#:640,425)(640,440){1} wire w25; //: /sn:0 {0}(1167,320)(1182,320){1} wire w40; //: /sn:0 {0}(952,220)(937,220){1} wire w35; //: /sn:0 {0}(949,140)(937,140){1} wire [7:0] w30; //: /sn:0 {0}(#:1161,325)(1025,325){1} //: {2}(1023,323)(#:1023,311){3} //: {4}(1023,327)(1023,344){5} wire w22; //: /sn:0 {0}(1182,290)(1167,290){1} wire [7:0] w17; //: /sn:0 {0}(#:635,597)(635,590)(656,590){1} //: {2}(660,590)(704,590){3} //: {4}(#:708,590)(753,590)(753,553){5} //: {6}(706,588)(706,566){7} //: {8}(658,588)(#:658,570)(640,570)(640,514){9} wire w53; //: /sn:0 {0}(249,171)(249,186){1} wire w44; //: /sn:0 {0}(990,284)(937,284){1} wire [7:0] w2; //: /sn:0 {0}(#:276,283)(276,268){1} wire [7:0] w11; //: /sn:0 {0}(#:186,542)(186,521)(254,521){1} //: {2}(258,521)(264,521)(264,502){3} //: {4}(256,523)(256,547){5} wire [7:0] w49; //: /sn:0 {0}(#:1159,477)(1169,477)(1169,439)(943,439)(943,493)(964,493){1} wire w27; //: /sn:0 {0}(1167,340)(1182,340){1} wire w52; //: /sn:0 {0}(308,198)(308,198)(328,198)(328,213)(318,213){1} wire w33; //: /sn:0 {0}(952,108)(937,108){1} wire w29; //: /sn:0 {0}(1167,360)(1182,360){1} wire [7:0] w47; //: /sn:0 {0}(#:1143,477)(1124,477){1} //: {2}(1120,477)(1102,477){3} //: {4}(1122,479)(#:1122,489)(1126,489)(1126,546){5} wire w42; //: /sn:0 {0}(952,252)(937,252){1} wire w39; //: /sn:0 {0}(952,204)(937,204){1} wire w26; //: /sn:0 {0}(1167,330)(1182,330){1} wire w55; //: /sn:0 {0}(236,405)(236,420){1} //: enddecls //: SWITCH g4 (w7) @(247,115) /sn:0 /R:3 /w:[ 1 ] /st:1 /dn:0 //: DIP g8 (w0) @(583,283) /sn:0 /R:2 /w:[ 0 ] /st:8 /dn:0 OSH g37 (.Z0(w31), .Z1(w32), .Z2(w33), .Z3(w34), .Z4(w35), .Z5(w36), .Z6(w37), .Z7(w38), .Z8(w39), .Z9(w40), .Z10(w41), .Z11(w42), .Z12(w43), .Z13(w44)); //: @(864, 60) /sz:(72, 240) /sn:0 /p:[ Ro0<1 Ro1<1 Ro2<1 Ro3<1 Ro4<1 Ro5<1 Ro6<1 Ro7<1 Ro8<1 Ro9<1 Ro10<1 Ro11<1 Ro12<1 Ro13<1 ] assign {w29, w28, w27, w26, w25, w24, w23, w22} = w30; //: CONCAT g34 @(1162,325) /sn:0 /R:2 /w:[ 0 0 0 0 0 0 1 1 0 ] /dr:0 /tp:0 /drp:0 //: joint g13 (w11) @(256, 521) /w:[ 2 -1 1 4 ] //: SWITCH g3 (w6) @(181,216) /sn:0 /w:[ 1 ] /st:1 /dn:0 //: SWITCH g2 (w5) @(355,216) /sn:0 /R:2 /w:[ 1 ] /st:0 /dn:0 //: LED g1 (w4) @(296,288) /sn:0 /w:[ 1 ] /type:2 //: DIP g16 (w13) @(186,596) /sn:0 /R:2 /w:[ 1 ] /st:253 /dn:0 //: SWITCH g11 (w10) @(561,133) /sn:0 /R:3 /w:[ 0 ] /st:0 /dn:0 //: SWITCH g28 (w19) @(494,467) /sn:0 /w:[ 0 ] /st:0 /dn:0 //: SWITCH g10 (w9) @(486,208) /sn:0 /w:[ 0 ] /st:0 /dn:0 //: joint g32 (w16) @(617, 426) /w:[ -1 2 1 4 ] _GGBUFIF8 #(4, 6) g27 (.Z(w17), .I(w21), .E(w16)); //: @(635,607) /sn:0 /R:1 /w:[ 0 1 0 ] //: SWITCH g19 (w14) @(165,446) /sn:0 /w:[ 1 ] /st:0 /dn:0 //: joint g38 (w30) @(1023, 325) /w:[ 1 2 -1 4 ] PC g6 (.br(w53), .bw(w51), .Clk(w6), .Clr(w5), .Inc(w52), .data(w54), .bus(w2)); //: @(233, 187) /sz:(84, 80) /sn:0 /p:[ Ti0>1 Ti1>1 Li0>0 Ri0>0 Ri1>1 To0<1 Bt0=1 ] //: LED g9 (w3) @(640,165) /sn:0 /R:3 /w:[ 0 ] /type:2 //: joint g31 (w17) @(706, 590) /w:[ 4 6 3 -1 ] //: SWITCH g20 (w15) @(368,445) /sn:0 /R:2 /w:[ 1 ] /st:0 /dn:0 _GGBUFIF8 #(4, 6) g15 (.Z(w11), .I(w13), .E(w14)); //: @(186,552) /sn:0 /R:1 /w:[ 0 0 0 ] //: SWITCH g39 (w46) @(891,534) /sn:0 /w:[ 0 ] /st:0 /dn:1 regTest g43 (.bR(w48), .bus(w49), .Clk(w46), ._start(w50), .value(w47)); //: @(965, 461) /sz:(136, 80) /sn:0 /p:[ Li0>1 Li1>1 Li2>1 Li3>1 Ro0<3 ] //: LED g29 (w17) @(753,546) /sn:0 /w:[ 5 ] /type:1 //: DIP g25 (w21) @(635,659) /sn:0 /R:2 /w:[ 0 ] /st:21 /dn:0 //: SWITCH g42 (w48) @(890,475) /sn:0 /w:[ 0 ] /st:1 /dn:1 //: DIP g14 (w12) @(257,359) /sn:0 /w:[ 0 ] /st:1 /dn:0 //: SWITCH g5 (w8) @(289,118) /sn:0 /R:3 /w:[ 0 ] /st:0 /dn:0 //: SWITCH g44 (w50) @(892,594) /sn:0 /w:[ 0 ] /st:1 /dn:0 //: SWITCH g36 (w45) @(1110,282) /sn:0 /R:2 /w:[ 0 ] /st:0 /dn:0 //: joint g24 (w17) @(658, 590) /w:[ 2 8 1 -1 ] //: LED g21 (w11) @(256,554) /sn:0 /R:2 /w:[ 5 ] /type:2 //: LED g23 (w17) @(706,559) /sn:0 /w:[ 7 ] /type:2 //: LED g41 (w47) @(1126,553) /sn:0 /R:2 /w:[ 5 ] /type:1 R8 g40 (.Clk(w44), .Clr(w45), .T(w30)); //: @(991, 262) /sz:(65, 48) /sn:0 /p:[ Li0>0 Ri0>1 Bo0<3 ] //: LED g35 (w30) @(1023,351) /sn:0 /R:2 /w:[ 5 ] /type:1 IRorg g26 (.bR(w16), .bW(w18), .Clk(w19), .Clr(w20), .I(w1), .bus(w17)); //: @(591, 441) /sz:(97, 72) /sn:0 /p:[ Ti0>5 Ti1>0 Li0>1 Ri0>0 To0<1 Bt0=9 ] //: SWITCH g22 (w16) @(589,331) /sn:0 /R:3 /w:[ 3 ] /st:0 /dn:0 //: LED g0 (w8) @(332,130) /sn:0 /w:[ 1 ] /type:0 //: joint g45 (w47) @(1122, 477) /w:[ 1 -1 2 4 ] _GGNBUF8 #(2) g46 (.I(w47), .Z(w49)); //: @(1149,477) /sn:0 /w:[ 0 0 ] RAM g18 (.bw(w56), .br(w55), .Addr(w12), .bus(w11)); //: @(222, 421) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Ti1>1 Ti2>1 Bt0=3 ] MAR g12 (.bR(w10), .Clk(w9), .bus(w0), .Addr(w3)); //: @(543, 179) /sz:(77, 68) /sn:0 /p:[ Ti0>1 Li0>1 Bi0>1 To0<1 ] //: SWITCH g33 (w20) @(755,475) /sn:0 /R:2 /w:[ 1 ] /st:0 /dn:0 //: SWITCH g30 (w18) @(650,356) /sn:0 /R:3 /w:[ 1 ] /st:0 /dn:0 endmodule //: /netlistEnd //: /netlistBegin MARorg module MARorg(Clk, bus, bR, Addr); //: interface /sz:(40, 40) /bd:[ ] /pd: 0 /pi: 0 /pe: 0 /pp: 1 supply1 w32; //: /sn:0 {0}(585,244)(585,274){1} input bR; //: /sn:0 {0}(816,209)(816,186)(662,186){1} //: {2}(658,186)(512,186){3} //: {4}(508,186)(366,186){5} //: {6}(362,186)(327,186){7} //: {8}(325,184)(325,167)(333,167){9} //: {10}(323,186)(296,186){11} //: {12}(364,188)(364,209){13} //: {14}(510,188)(510,211){15} //: {16}(660,188)(660,209){17} supply1 w34; //: /sn:0 {0}(606,361)(606,376)(585,376)(585,351){1} supply1 w21; //: /sn:0 {0}(440,350)(440,373)(460,373)(460,358){1} input [7:0] bus; //: /sn:0 {0}(#:404,69)(#:404,105){1} supply1 w28; //: /sn:0 {0}(908,361)(908,374)(891,374)(891,349){1} supply1 w20; //: /sn:0 {0}(440,242)(440,273){1} supply1 w41; //: /sn:0 {0}(891,242)(891,272){1} output [3:0] Addr; //: /sn:0 {0}(#:525,532)(#:525,488){1} supply1 w40; //: /sn:0 {0}(735,242)(735,272){1} input Clk; //: /sn:0 {0}(297,406)(382,406){1} //: {2}(386,406)(527,406){3} //: {4}(531,406)(682,406){5} //: {6}(686,406)(837,406)(837,321)(852,321){7} //: {8}(684,404)(684,321)(696,321){9} //: {10}(529,404)(529,323)(546,323){11} //: {12}(384,404)(384,322)(401,322){13} supply1 w42; //: /sn:0 {0}(751,360)(751,375)(735,375)(735,349){1} wire w6; //: /sn:0 {0}(429,111)(429,126){1} wire w7; //: /sn:0 {0}(540,211)(540,198)(635,198)(635,291){1} //: {2}(637,293)(663,293)(663,419)(520,419)(520,482){3} //: {4}(633,293)(622,293){5} wire w16; //: /sn:0 {0}(399,111)(399,134)(821,134)(821,209){1} wire w14; //: /sn:0 {0}(369,111)(369,209){1} wire w4; //: /sn:0 {0}(492,324)(477,324){1} wire w19; //: /sn:0 {0}(665,209)(665,145)(389,145)(389,111){1} wire w15; //: /sn:0 {0}(674,275)(674,293)(696,293){1} wire w38; //: /sn:0 {0}(943,323)(928,323){1} wire w3; //: /sn:0 {0}(409,111)(409,126){1} wire w0; //: /sn:0 {0}(378,275)(378,294)(401,294){1} wire w37; //: /sn:0 {0}(540,482)(540,439)(968,439)(968,291)(943,291){1} //: {2}(941,289)(941,196)(846,196)(846,209){3} //: {4}(939,291)(928,291){5} wire w31; //: /sn:0 {0}(818,230)(818,241)(828,241)(828,254){1} wire w23; //: /sn:0 {0}(843,230)(843,242)(833,242)(833,254){1} wire w24; //: /sn:0 {0}(512,232)(512,243)(522,243)(522,256){1} wire w1; //: /sn:0 {0}(394,209)(394,197)(487,197)(487,290){1} //: {2}(489,292)(510,292)(510,482){3} //: {4}(485,292)(477,292){5} wire w25; //: /sn:0 {0}(687,230)(687,242)(677,242)(677,254){1} wire w8; //: /sn:0 {0}(439,111)(439,126){1} wire w30; //: /sn:0 {0}(787,323)(772,323){1} wire w17; //: /sn:0 {0}(515,211)(515,156)(379,156)(379,111){1} wire w22; //: /sn:0 {0}(537,232)(537,244)(527,244)(527,256){1} wire w2; //: /sn:0 {0}(524,277)(524,295)(546,295){1} wire w12; //: /sn:0 {0}(391,230)(391,242)(381,242)(381,254){1} wire w10; //: /sn:0 {0}(637,325)(622,325){1} wire w13; //: /sn:0 {0}(366,230)(366,241)(376,241)(376,254){1} wire w33; //: /sn:0 {0}(830,275)(830,293)(852,293){1} wire w5; //: /sn:0 {0}(419,111)(419,126){1} wire w29; //: /sn:0 {0}(530,482)(530,429)(814,429)(814,291)(787,291){1} //: {2}(785,289)(785,196)(690,196)(690,209){3} //: {4}(783,291)(772,291){5} wire w26; //: /sn:0 {0}(662,230)(662,241)(672,241)(672,254){1} wire w39; //: /sn:0 {0}(841,209)(841,167)(687,167){1} //: {2}(683,167)(537,167){3} //: {4}(533,167)(391,167){5} //: {6}(387,167)(349,167){7} //: {8}(389,169)(389,209){9} //: {10}(535,169)(535,211){11} //: {12}(685,169)(685,209){13} //: enddecls //: joint g8 (bR) @(325, 186) /w:[ 7 8 10 -1 ] _GGAND2 #(6) g4 (.I0(w1), .I1(w39), .Z(w12)); //: @(391,220) /sn:0 /R:3 /w:[ 0 9 0 ] //: joint g37 (Clk) @(684, 406) /w:[ 6 8 5 -1 ] //: IN g34 (Clk) @(295,406) /sn:0 /w:[ 0 ] _GGOR2 #(6) g13 (.I0(w22), .I1(w24), .Z(w2)); //: @(524,267) /sn:0 /R:3 /w:[ 1 1 0 ] _GGAND2 #(6) g3 (.I0(w14), .I1(bR), .Z(w13)); //: @(366,220) /sn:0 /R:3 /w:[ 1 13 0 ] _GGOR2 #(6) g2 (.I0(w12), .I1(w13), .Z(w0)); //: @(378,265) /sn:0 /R:3 /w:[ 1 1 0 ] D g1 (._Preset(w32), .Clk(Clk), .D(w2), ._Clear(w34), .Q(w7), ._Q(w10)); //: @(547, 275) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>11 Li1>1 Bi0>1 Ro0<5 Ro1<1 ] _GGOR2 #(6) g16 (.I0(w25), .I1(w26), .Z(w15)); //: @(674,265) /sn:0 /R:3 /w:[ 1 1 0 ] _GGAND2 #(6) g11 (.I0(w7), .I1(w39), .Z(w22)); //: @(537,222) /sn:0 /R:3 /w:[ 0 11 0 ] //: VDD g28 (w40) @(746,242) /sn:0 /w:[ 0 ] assign {w8, w6, w5, w3, w16, w19, w17, w14} = bus; //: CONCAT g10 @(404,106) /sn:0 /R:1 /w:[ 0 0 0 0 0 1 1 0 1 ] /dr:1 /tp:0 /drp:0 //: VDD g32 (w42) @(762,360) /sn:0 /w:[ 0 ] //: VDD g27 (w32) @(596,244) /sn:0 /w:[ 0 ] _GGAND2 #(6) g19 (.I0(w19), .I1(bR), .Z(w26)); //: @(662,220) /sn:0 /R:3 /w:[ 0 17 0 ] assign Addr = {w37, w29, w7, w1}; //: CONCAT g38 @(525,487) /sn:0 /R:3 /w:[ 1 0 0 3 3 ] /dr:0 /tp:0 /drp:1 //: joint g6 (bR) @(364, 186) /w:[ 5 -1 6 12 ] //: joint g9 (w39) @(389, 167) /w:[ 5 -1 6 8 ] _GGNBUF #(2) g7 (.I(bR), .Z(w39)); //: @(339,167) /sn:0 /w:[ 9 7 ] //: VDD g31 (w34) @(617,361) /sn:0 /w:[ 0 ] //: joint g20 (bR) @(660, 186) /w:[ 1 -1 2 16 ] //: joint g15 (w39) @(535, 167) /w:[ 3 -1 4 10 ] //: joint g39 (w1) @(487, 292) /w:[ 2 1 4 -1 ] //: IN g43 (bus) @(404,67) /sn:0 /R:3 /w:[ 0 ] //: VDD g29 (w41) @(902,242) /sn:0 /w:[ 0 ] _GGAND2 #(6) g25 (.I0(w16), .I1(bR), .Z(w31)); //: @(818,220) /sn:0 /R:3 /w:[ 1 0 0 ] _GGAND2 #(6) g17 (.I0(w29), .I1(w39), .Z(w25)); //: @(687,220) /sn:0 /R:3 /w:[ 3 13 0 ] //: joint g42 (w37) @(941, 291) /w:[ 1 2 4 -1 ] //: joint g14 (bR) @(510, 186) /w:[ 3 -1 4 14 ] //: IN g5 (bR) @(294,186) /sn:0 /w:[ 11 ] //: OUT g44 (Addr) @(525,529) /sn:0 /R:3 /w:[ 0 ] //: joint g36 (Clk) @(529, 406) /w:[ 4 10 3 -1 ] D g24 (._Preset(w41), .Clk(Clk), .D(w33), ._Clear(w28), .Q(w37), ._Q(w38)); //: @(853, 273) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>7 Li1>1 Bi0>1 Ro0<5 Ro1<1 ] //: joint g21 (w39) @(685, 167) /w:[ 1 -1 2 12 ] //: joint g41 (w29) @(785, 291) /w:[ 1 2 4 -1 ] _GGAND2 #(6) g23 (.I0(w37), .I1(w39), .Z(w23)); //: @(843,220) /sn:0 /R:3 /w:[ 3 0 0 ] //: joint g40 (w7) @(635, 293) /w:[ 2 1 4 -1 ] //: joint g35 (Clk) @(384, 406) /w:[ 2 12 1 -1 ] //: VDD g26 (w20) @(451,242) /sn:0 /w:[ 0 ] _GGOR2 #(6) g22 (.I0(w23), .I1(w31), .Z(w33)); //: @(830,265) /sn:0 /R:3 /w:[ 1 1 0 ] D g0 (._Preset(w20), .Clk(Clk), .D(w0), ._Clear(w21), .Q(w1), ._Q(w4)); //: @(402, 274) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>13 Li1>1 Bi0>0 Ro0<5 Ro1<1 ] D g18 (._Preset(w40), .Clk(Clk), .D(w15), ._Clear(w42), .Q(w29), ._Q(w30)); //: @(697, 273) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>9 Li1>1 Bi0>1 Ro0<5 Ro1<1 ] _GGAND2 #(6) g12 (.I0(w17), .I1(bR), .Z(w24)); //: @(512,222) /sn:0 /R:3 /w:[ 0 15 0 ] //: VDD g33 (w28) @(919,361) /sn:0 /w:[ 0 ] //: VDD g30 (w21) @(471,358) /sn:0 /w:[ 1 ] endmodule //: /netlistEnd //: /netlistBegin oscillator module oscillator(Fdiv, F0); //: interface /sz:(80, 80) /bd:[ Ro00 Bi0>7 To0<1 ] _GGNBUF #(2) g2 (.I(A), .Z(w5)); //: @(411,757) /sn:0 /w:[ 1 1 ] _GGNBUF #(2) g59 (.I(w43), .Z(A0)); //: @(552,757) /sn:0 /w:[ 0 1 ] one_up g1 (.Z(w0)); //: @(285, 759) /symbol:146042696 /sn:0 /w:[ 5 ] _GGNBUF #(2) g16 (.I(w44), .Z(w41)); //: @(416,777) /sn:0 /R:2 /w:[ 0 0 ] //: comment g11 @(781,725) /sn:0 //: /line:"F0 ~ 5 MHz" //: /end _GGNBUF #(2) g28 (.I(A2), .Z(w1)); //: @(419,888) /sn:0 /w:[ 0 0 ] _GGNBUF #(2) g50 (.I(w5), .Z(w32)); //: @(437,757) /sn:0 /w:[ 0 1 ] _GGAND2 #(6) g10 (.I0(w1), .I1(w0), .Z(A)); //: @(380,757) /sn:0 /w:[ 9 3 0 ] _GGNBUF #(2) g32 (.I(A1), .Z(w6)); //: @(561,860) /sn:0 /R:2 /w:[ 0 1 ] _GGNBUF #(2) g27 (.I(w8), .Z(w38)); //: @(438,796) /sn:0 /w:[ 0 1 ] _GGNBUF #(2) g19 (.I(w31), .Z(w36)); //: @(487,777) /sn:0 /R:2 /w:[ 0 1 ] _GGNBUF #(2) g38 (.I(w52), .Z(w53)); //: @(491,860) /sn:0 /R:2 /w:[ 0 1 ] //: OUT g6 (Fdiv) @(834,581) /sn:0 /w:[ 0 ] //: joint g53 (w1) @(674, 757) /w:[ 2 4 -1 1 ] //: comment g9 @(1052,584) /sn:0 //: /line:"Fdiv6 ~40 kHz" //: /line:"Fdiv7 ~20 kHz" //: /line:"Fdiv8 ~10 kHz" //: /line:"Fdiv9 ~5 kHz" //: /line:"Fdiv10 ~2,5 kHz" //: /line:"Fdiv11 ~1,25 kHz" //: /end _GGNBUF #(2) g31 (.I(w40), .Z(w47)); //: @(532,840) /sn:0 /w:[ 0 1 ] _GGNBUF #(2) g20 (.I(w35), .Z(w31)); //: @(510,777) /sn:0 /R:2 /w:[ 0 1 ] _GGNBUF #(2) g15 (.I(w27), .Z(w28)); //: @(481,757) /sn:0 /w:[ 0 1 ] _GGNBUF #(2) g39 (.I(w54), .Z(w49)); //: @(462,840) /sn:0 /w:[ 0 1 ] _GGNBUF #(2) g48 (.I(w56), .Z(w57)); //: @(466,821) /sn:0 /R:2 /w:[ 0 1 ] _GGNBUF #(2) g43 (.I(w50), .Z(w40)); //: @(509,840) /sn:0 /w:[ 0 1 ] //: comment g29 @(684,773) /sn:0 //: /line:"Frequenza minima per evitare il verificarsi" //: /line:"di inneschi nella catena di flip-flop T usati" //: /line:"per la divisione della frequenza." //: /end _GGNBUF #(2) g25 (.I(w41), .Z(w8)); //: @(412,796) /sn:0 /w:[ 1 1 ] //: OUT g17 (F0) @(834,757) /sn:0 /w:[ 1 ] _GGNBUF #(2) g62 (.I(w32), .Z(w27)); //: @(458,757) /sn:0 /w:[ 0 1 ] _GGNBUF #(2) g52 (.I(w53), .Z(w39)); //: @(467,860) /sn:0 /R:2 /w:[ 0 1 ] _GGNBUF #(2) g42 (.I(w4), .Z(w10)); //: @(415,840) /sn:0 /w:[ 1 1 ] _GGNBUF #(2) g14 (.I(A0), .Z(w7)); //: @(557,777) /sn:0 /R:2 /w:[ 0 1 ] //: joint g5 (w0) @(339, 759) /w:[ 2 1 4 -1 ] _GGNBUF #(2) g47 (.I(w58), .Z(w4)); //: @(419,821) /sn:0 /R:2 /w:[ 0 0 ] _GGNBUF #(2) g44 (.I(w51), .Z(w55)); //: @(513,821) /sn:0 /R:2 /w:[ 0 1 ] _GGNBUF #(2) g36 (.I(w49), .Z(w50)); //: @(485,840) /sn:0 /w:[ 0 1 ] _GGNBUF #(2) g24 (.I(w38), .Z(w34)); //: @(459,796) /sn:0 /w:[ 0 1 ] _GGNBUF #(2) g21 (.I(w36), .Z(w30)); //: @(463,777) /sn:0 /R:2 /w:[ 0 1 ] _GGNBUF #(2) g41 (.I(w2), .Z(w9)); //: @(560,821) /sn:0 /R:2 /w:[ 0 1 ] _GGNBUF #(2) g23 (.I(w34), .Z(w37)); //: @(482,796) /sn:0 /w:[ 0 1 ] _GGNBUF #(2) g40 (.I(w48), .Z(w52)); //: @(514,860) /sn:0 /R:2 /w:[ 0 1 ] _GGNBUF #(2) g46 (.I(w57), .Z(w58)); //: @(443,821) /sn:0 /R:2 /w:[ 0 1 ] _GGNBUF #(2) g45 (.I(w55), .Z(w56)); //: @(490,821) /sn:0 /R:2 /w:[ 0 1 ] _GGNBUF #(2) g35 (.I(w6), .Z(w48)); //: @(535,860) /sn:0 /R:2 /w:[ 0 1 ] _GGNBUF #(2) g26 (.I(w37), .Z(w33)); //: @(506,796) /sn:0 /w:[ 0 1 ] _GGNBUF #(2) g22 (.I(w45), .Z(w2)); //: @(553,796) /sn:0 /w:[ 0 1 ] _GGNBUF #(2) g0 (.I(w33), .Z(w45)); //: @(529,796) /sn:0 /w:[ 0 1 ] _GGNBUF #(2) g18 (.I(w7), .Z(w35)); //: @(531,777) /sn:0 /R:2 /w:[ 0 1 ] //: frame g12 @(897,575) /sn:0 /wi:272 /ht:106 /tx:"" _GGNBUF #(2) g33 (.I(w46), .Z(A2)); //: @(420,860) /sn:0 /R:2 /w:[ 0 1 ] _GGNBUF #(2) g30 (.I(w39), .Z(w46)); //: @(444,860) /sn:0 /R:2 /w:[ 0 1 ] _GGNBUF #(2) g49 (.I(w28), .Z(w29)); //: @(505,757) /sn:0 /w:[ 0 1 ] _GGNBUF #(2) g134 (.I(w1), .Z(F0)); //: @(762,757) /sn:0 /w:[ 3 0 ] endmodule //: /netlistEnd //: /netlistBegin regA module regA(Clk, value, bR, bus, bW); //: interface /sz:(85, 70) /bd:[ Ti0>bR(13/85) Ti1>bW(70/85) Li0>Clk(31/70) To01 Li0>17 Li1>1 Bi0>0 Ro0<0 Ro1<1 ] //: joint g37 (Clk) @(681, 417) /w:[ 6 24 5 -1 ] //: IN g34 (Clk) @(220,417) /sn:0 /w:[ 0 ] _GGOR2 #(6) g13 (.I0(w22), .I1(w24), .Z(w2)); //: @(521,278) /sn:0 /R:3 /w:[ 1 1 0 ] _GGAND2 #(6) g3 (.I0(w14), .I1(bR), .Z(w13)); //: @(363,231) /sn:0 /R:3 /w:[ 1 23 0 ] _GGOR2 #(6) g51 (.I0(w47), .I1(w48), .Z(w49)); //: @(1127,275) /sn:0 /R:3 /w:[ 1 1 0 ] _GGOR2 #(6) g55 (.I0(w56), .I1(w57), .Z(w58)); //: @(1281,276) /sn:0 /R:3 /w:[ 1 1 0 ] //: VDD g58 (w61) @(1353,253) /sn:0 /w:[ 0 ] //: joint g86 (w69) @(1543, 301) /w:[ 1 2 4 -1 ] //: joint g77 (bR) @(1267, 197) /w:[ 1 -1 2 34 ] //: joint g76 (bR) @(1113, 197) /w:[ 3 -1 4 32 ] _GGOR2 #(6) g2 (.I0(w12), .I1(w13), .Z(w0)); //: @(375,276) /sn:0 /R:3 /w:[ 1 1 0 ] //: VDD g65 (w74) @(1331,385) /sn:0 /R:2 /w:[ 1 ] _GGAND2 #(6) g59 (.I0(w62), .I1(bR), .Z(w57)); //: @(1269,231) /sn:0 /R:3 /w:[ 0 35 0 ] D g1 (._Preset(w32), .Clk(Clk), .D(w2), ._Clear(w81), .Q(w7), ._Q(w10)); //: @(544, 286) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>27 Li1>1 Bi0>0 Ro0<5 Ro1<1 ] //: VDD g72 (w82) @(426,385) /sn:0 /R:2 /w:[ 0 ] _GGAND2 #(6) g64 (.I0(w72), .I1(bR), .Z(w67)); //: @(1420,230) /sn:0 /R:3 /w:[ 0 0 0 ] _GGOR2 #(6) g16 (.I0(w25), .I1(w26), .Z(w15)); //: @(671,276) /sn:0 /R:3 /w:[ 1 1 0 ] _GGAND2 #(6) g11 (.I0(w7), .I1(w60), .Z(w22)); //: @(534,233) /sn:0 /R:3 /w:[ 0 19 0 ] //: joint g78 (w60) @(989, 178) /w:[ 5 -1 6 24 ] //: VDD g28 (w40) @(743,253) /sn:0 /w:[ 0 ] assign {w72, w62, w52, w18, w16, w19, w17, w14} = bus; //: CONCAT g10 @(401,54) /sn:0 /R:1 /w:[ 1 1 1 1 0 1 1 0 5 ] /dr:1 /tp:1 /drp:0 _GGOR2 #(6) g50 (.I0(w27), .I1(w35), .Z(w36)); //: @(978,276) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g87 (value) @(536, 568) /w:[ 1 2 -1 4 ] //: VDD g27 (w32) @(593,255) /sn:0 /w:[ 0 ] _GGAND2 #(6) g19 (.I0(w19), .I1(bR), .Z(w26)); //: @(659,231) /sn:0 /R:3 /w:[ 0 27 0 ] //: joint g32 (Clk) @(1295, 417) /w:[ 14 16 13 -1 ] assign value = {w69, w59, w50, w44, w37, w29, w7, w1}; //: CONCAT g38 @(536,546) /sn:0 /R:3 /w:[ 3 0 3 0 3 0 0 3 3 ] /dr:0 /tp:0 /drp:1 //: joint g6 (bR) @(361, 197) /w:[ 13 -1 14 22 ] //: VDD g69 (w79) @(877,381) /sn:0 /R:2 /w:[ 1 ] //: joint g75 (bR) @(964, 197) /w:[ 5 -1 6 30 ] //: joint g9 (w60) @(386, 178) /w:[ 13 -1 14 16 ] _GGNBUF #(2) g7 (.I(bR), .Z(w60)); //: @(336,178) /sn:0 /w:[ 17 15 ] //: VDD g53 (w51) @(1199,252) /sn:0 /w:[ 0 ] _GGAND2 #(6) g57 (.I0(w59), .I1(w60), .Z(w56)); //: @(1294,231) /sn:0 /R:3 /w:[ 5 29 0 ] //: joint g20 (bR) @(657, 197) /w:[ 9 -1 10 26 ] //: joint g15 (w60) @(532, 178) /w:[ 11 -1 12 18 ] //: joint g31 (Clk) @(1136, 417) /w:[ 12 18 11 -1 ] //: VDD g71 (w81) @(571,388) /sn:0 /R:2 /w:[ 1 ] //: joint g39 (w1) @(484, 303) /w:[ 2 1 4 -1 ] //: VDD g67 (w77) @(1177,384) /sn:0 /R:2 /w:[ 1 ] //: VDD g68 (w78) @(1029,381) /sn:0 /R:2 /w:[ 1 ] _GGAND2 #(6) g48 (.I0(w44), .I1(w60), .Z(w27)); //: @(991,231) /sn:0 /R:3 /w:[ 5 25 0 ] //: joint g43 (w44) @(1090, 301) /w:[ 2 4 1 -1 ] //: VDD g29 (w41) @(899,253) /sn:0 /w:[ 0 ] _GGAND2 #(6) g25 (.I0(w16), .I1(bR), .Z(w31)); //: @(815,231) /sn:0 /R:3 /w:[ 1 29 0 ] _GGAND2 #(6) g17 (.I0(w29), .I1(w60), .Z(w25)); //: @(684,231) /sn:0 /R:3 /w:[ 3 21 0 ] _GGAND2 #(6) g62 (.I0(w69), .I1(w60), .Z(w66)); //: @(1445,230) /sn:0 /R:3 /w:[ 3 0 0 ] //: joint g73 (w50) @(1245, 301) /w:[ 1 2 4 -1 ] //: OUT g88 (value) @(718,606) /sn:0 /R:3 /w:[ 0 ] //: joint g42 (w37) @(938, 302) /w:[ 1 2 4 -1 ] _GGAND2 #(6) g52 (.I0(w50), .I1(w60), .Z(w47)); //: @(1140,230) /sn:0 /R:3 /w:[ 3 27 0 ] //: VDD g63 (w71) @(1504,252) /sn:0 /w:[ 0 ] _GGAND2 #(6) g83 (.I0(!bR), .I1(bW), .Z(w6)); //: @(350,582) /sn:0 /w:[ 21 0 0 ] //: joint g74 (bR) @(813, 197) /w:[ 7 -1 8 28 ] //: joint g14 (bR) @(507, 197) /w:[ 11 -1 12 24 ] //: IN g5 (bR) @(220,197) /sn:0 /w:[ 19 ] D g56 (._Preset(w51), .Clk(Clk), .D(w49), ._Clear(w77), .Q(w50), ._Q(w55)); //: @(1150, 283) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>19 Li1>1 Bi0>0 Ro0<5 Ro1<1 ] //: joint g80 (w60) @(1292, 178) /w:[ 1 -1 2 28 ] //: joint g79 (w60) @(1138, 178) /w:[ 3 -1 4 26 ] //: joint g44 (w60) @(838, 178) /w:[ 7 -1 8 22 ] //: VDD g47 (w76) @(1482,387) /sn:0 /R:2 /w:[ 0 ] //: joint g85 (bus) @(401, 32) /w:[ -1 1 2 4 ] //: joint g36 (Clk) @(526, 417) /w:[ 4 26 3 -1 ] D g24 (._Preset(w41), .Clk(Clk), .D(w33), ._Clear(w79), .Q(w37), ._Q(w38)); //: @(850, 284) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>23 Li1>1 Bi0>0 Ro0<5 Ro1<1 ] //: joint g21 (w60) @(682, 178) /w:[ 9 -1 10 20 ] //: joint g84 (w59) @(1392, 302) /w:[ 2 4 1 -1 ] //: joint g41 (w29) @(782, 302) /w:[ 1 2 4 -1 ] _GGAND2 #(6) g23 (.I0(w37), .I1(w60), .Z(w23)); //: @(840,231) /sn:0 /R:3 /w:[ 3 23 0 ] //: joint g40 (w7) @(632, 304) /w:[ 2 1 4 -1 ] _GGAND2 #(6) g54 (.I0(w52), .I1(bR), .Z(w48)); //: @(1115,230) /sn:0 /R:3 /w:[ 0 33 0 ] _GGOR2 #(6) g60 (.I0(w66), .I1(w67), .Z(w68)); //: @(1432,275) /sn:0 /R:3 /w:[ 1 1 0 ] _GGBUFIF8 #(4, 6) g81 (.Z(bus), .I(value), .E(w6)); //: @(536,605) /sn:0 /R:3 /w:[ 3 5 1 ] //: INOUT g90 (bus) @(401,-5) /sn:0 /R:3 /w:[ 0 ] //: joint g35 (Clk) @(381, 417) /w:[ 2 28 1 -1 ] //: VDD g26 (w20) @(448,253) /sn:0 /w:[ 0 ] _GGOR2 #(6) g22 (.I0(w23), .I1(w31), .Z(w33)); //: @(827,276) /sn:0 /R:3 /w:[ 1 1 0 ] D g0 (._Preset(w20), .Clk(Clk), .D(w0), ._Clear(w82), .Q(w1), ._Q(w4)); //: @(399, 285) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>29 Li1>1 Bi0>1 Ro0<5 Ro1<1 ] //: VDD g45 (w43) @(1050,253) /sn:0 /w:[ 0 ] _GGAND2 #(6) g46 (.I0(w18), .I1(bR), .Z(w35)); //: @(966,231) /sn:0 /R:3 /w:[ 0 31 0 ] //: VDD g70 (w80) @(721,394) /sn:0 /R:2 /w:[ 0 ] D g66 (._Preset(w71), .Clk(Clk), .D(w68), ._Clear(w76), .Q(w69), ._Q(w75)); //: @(1455, 283) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>15 Li1>1 Bi0>1 Ro0<5 Ro1<1 ] //: IN g82 (bW) @(220,584) /sn:0 /w:[ 1 ] D g18 (._Preset(w40), .Clk(Clk), .D(w15), ._Clear(w80), .Q(w29), ._Q(w30)); //: @(694, 284) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>25 Li1>1 Bi0>1 Ro0<5 Ro1<1 ] _GGAND2 #(6) g12 (.I0(w17), .I1(bR), .Z(w24)); //: @(509,233) /sn:0 /R:3 /w:[ 0 25 0 ] //: joint g30 (Clk) @(834, 417) /w:[ 8 22 7 -1 ] //: joint g33 (Clk) @(989, 417) /w:[ 10 20 9 -1 ] D g49 (._Preset(w43), .Clk(Clk), .D(w36), ._Clear(w78), .Q(w44), ._Q(w46)); //: @(1002, 283) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>21 Li1>1 Bi0>0 Ro0<0 Ro1<1 ] endmodule //: /netlistEnd //: /netlistBegin cnt module cnt(C, D, L, Clr, E, Q, Clk); //: interface /sz:(86, 81) /bd:[ Ti0>D[7:0](44/86) Li0>Clk(41/81) Li1>L(60/81) Ri0>Clr(57/81) Ri1>E(25/81) Lo017 Bi0>27 Ri0>29 Ri1>1 Lo0<3 Lo1<1 ] //: comment g34 @(1513,1531) /sn:0 //: /line:"1" //: /end //: joint g140 (w33) @(1016, 1642) /w:[ 2 4 -1 1 ] _GGMUX2 #(8, 8) g89 (.I0(w63), .I1(w89), .S(L), .Z(w64)); //: @(854,1559) /sn:0 /w:[ 1 1 25 0 ] /ss:0 /do:0 //: joint g112 (w69) @(973, 1476) /w:[ -1 6 5 8 ] //: joint g110 (w60) @(847, 1437) /w:[ 1 2 -1 4 ] //: joint g77 (w8) @(678, 1602) /w:[ 13 -1 14 16 ] //: joint g65 (w8) @(1321, 1602) /w:[ 3 -1 4 26 ] //: OUT g2 (C) @(376,1443) /sn:0 /R:2 /w:[ 0 ] _GGAND2 #(6) g111 (.I0(w69), .I1(w75), .Z(w60)); //: @(918,1437) /sn:0 /R:2 /w:[ 7 3 0 ] _GGXOR2 #(8) g76 (.I0(w52), .I1(w56), .Z(w59)); //: @(716,1497) /sn:0 /R:3 /w:[ 5 9 0 ] assign {w82, w17, w89, w86, w57, w54, w49, w46} = D; //: CONCAT g147 @(1061,1293) /sn:0 /R:1 /w:[ 0 0 0 0 1 0 0 0 1 ] /dr:0 /tp:0 /drp:0 _GGXOR2 #(8) g72 (.I0(w51), .I1(w34), .Z(w53)); //: @(1232,1497) /sn:0 /R:3 /w:[ 5 9 0 ] //: IN g1 (D) @(1061,1237) /sn:0 /R:3 /w:[ 0 ] //: joint g98 (L) @(953, 1581) /w:[ 8 22 7 -1 ] //: joint g99 (L) @(1080, 1581) /w:[ 10 20 9 -1 ] //: joint g64 (w8) @(1194, 1602) /w:[ 5 -1 6 24 ] //: joint g96 (L) @(1205, 1581) /w:[ 12 18 11 -1 ] _GGAND2 #(6) g122 (.I0(w12), .I1(E), .Z(w20)); //: @(1432,1429) /sn:0 /R:2 /w:[ 7 0 0 ] //: joint g121 (w13) @(1358, 1478) /w:[ -1 6 5 8 ] //: joint g130 (Clk) @(1380, 1729) /w:[ 12 18 11 -1 ] _GGXOR2 #(8) g78 (.I0(w60), .I1(w62), .Z(w63)); //: @(844,1497) /sn:0 /R:3 /w:[ 5 9 0 ] _GGMUX2 #(8, 8) g87 (.I0(w77), .I1(w86), .S(L), .Z(w68)); //: @(985,1559) /sn:0 /w:[ 1 1 23 0 ] /ss:0 /do:0 //: comment g32 @(836,1531) /sn:0 //: /line:"0" //: /end //: VDD g171 (w8) @(1544,1583) /sn:0 /w:[ 0 ] //: joint g129 (Clk) @(1518, 1674) /w:[ 14 -1 16 13 ] _GGAND2 #(6) g113 (.I0(w33), .I1(w37), .Z(w75)); //: @(1048,1435) /sn:0 /R:2 /w:[ 7 3 0 ] //: joint g132 (Clk) @(1122, 1729) /w:[ 8 22 7 -1 ] _GGAND2 #(6) g102 (.I0(w73), .I1(w71), .Z(C)); //: @(536,1443) /sn:0 /R:2 /w:[ 7 3 1 ] //: joint g143 (w13) @(1273, 1642) /w:[ 2 4 -1 1 ] _GGXOR2 #(8) g69 (.I0(w20), .I1(w13), .Z(w38)); //: @(1360,1497) /sn:0 /R:3 /w:[ 5 9 0 ] //: joint g115 (w33) @(1102, 1478) /w:[ -1 6 5 8 ] //: comment g38 @(708,1531) /sn:0 //: /line:"0" //: /end d g57 (._Preset(w8), ._Clear(w9), .Clk(Clk), .D(w39), .Q(w12), ._Q(w14)); //: @(1410, 1618) /sz:(80, 80) /sn:0 /p:[ Ti0>29 Bi0>15 Ri0>17 Ri1>1 Lo0<3 Lo1<1 ] //: joint g119 (w51) @(1235, 1431) /w:[ 2 -1 1 4 ] //: joint g75 (w8) @(805, 1602) /w:[ 11 -1 12 18 ] d g53 (._Preset(w8), ._Clear(w9), .Clk(Clk), .D(w16), .Q(w13), ._Q(w2)); //: @(1282, 1618) /sz:(80, 80) /sn:0 /p:[ Ti0>27 Bi0>17 Ri0>19 Ri1>1 Lo0<3 Lo1<1 ] _GGXOR2 #(8) g71 (.I0(w37), .I1(w33), .Z(w42)); //: @(1104,1497) /sn:0 /R:3 /w:[ 5 9 0 ] //: comment g31 @(610,1531) /sn:0 //: /line:"1" //: /end //: joint g124 (w12) @(1489, 1476) /w:[ -1 6 5 8 ] //: joint g131 (Clk) @(1251, 1729) /w:[ 10 20 9 -1 ] //: joint g67 (w9) @(1323, 1714) /w:[ 14 16 13 -1 ] //: IN g127 (L) @(377,1581) /sn:0 /w:[ 0 ] _GGXOR2 #(8) g68 (.I0(E), .I1(w12), .Z(w36)); //: @(1491,1497) /sn:0 /R:3 /w:[ 5 9 0 ] //: joint g39 (w73) @(586, 1478) /w:[ -1 6 5 8 ] //: joint g43 (w34) @(1144, 1642) /w:[ 2 4 -1 1 ] //: comment g48 @(1381,1531) /sn:0 //: /line:"1" //: /end _GGMUX2 #(8, 8) g73 (.I0(w53), .I1(w54), .S(L), .Z(w45)); //: @(1242,1559) /sn:0 /w:[ 1 1 19 0 ] /ss:0 /do:0 d g88 (._Preset(w8), ._Clear(w9), .Clk(Clk), .D(w83), .Q(w73), ._Q(w15)); //: @(511, 1618) /sz:(80, 80) /sn:0 /p:[ Ti0>15 Bi0>29 Ri0>0 Ri1>1 Lo0<3 Lo1<1 ] _GGAND2 #(6) g104 (.I0(w56), .I1(w52), .Z(w71)); //: @(661,1441) /sn:0 /R:2 /w:[ 7 3 0 ] //: joint g29 (Clk) @(737, 1729) /w:[ 2 28 1 -1 ] //: comment g25 @(1351,1531) /sn:0 //: /line:"0" //: /end //: comment g42 @(738,1531) /sn:0 //: /line:"1" //: /end d g63 (._Preset(w8), ._Clear(w9), .Clk(Clk), .D(w45), .Q(w34), ._Q(w18)); //: @(1155, 1618) /sz:(80, 80) /sn:0 /p:[ Ti0>25 Bi0>19 Ri0>21 Ri1>1 Lo0<3 Lo1<1 ] _GGAND2 #(6) g107 (.I0(w62), .I1(w60), .Z(w52)); //: @(787,1439) /sn:0 /R:2 /w:[ 7 3 0 ] //: joint g106 (w56) @(714, 1476) /w:[ -1 6 5 8 ] _GGXOR2 #(8) g83 (.I0(w75), .I1(w69), .Z(w77)); //: @(975,1497) /sn:0 /R:3 /w:[ 5 9 0 ] _GGNBUF #(2) g174 (.I(Clr), .Z(w9)); //: @(479,1714) /sn:0 /w:[ 1 0 ] //: joint g175 (w9) @(552, 1714) /w:[ 2 28 1 -1 ] _GGMUX2 #(8, 8) g74 (.I0(w42), .I1(w57), .S(L), .Z(w23)); //: @(1114,1559) /sn:0 /w:[ 1 0 21 0 ] /ss:0 /do:0 //: joint g109 (w62) @(842, 1478) /w:[ -1 6 5 8 ] //: joint g100 (L) @(821, 1581) /w:[ 6 24 5 -1 ] //: joint g133 (Clk) @(993, 1729) /w:[ 6 24 5 -1 ] d g56 (._Preset(w8), ._Clear(w9), .Clk(Clk), .D(w23), .Q(w33), ._Q(w19)); //: @(1027, 1618) /sz:(80, 80) /sn:0 /p:[ Ti0>23 Bi0>21 Ri0>23 Ri1>1 Lo0<3 Lo1<1 ] _GGXOR2 #(8) g79 (.I0(w71), .I1(w73), .Z(w79)); //: @(588,1497) /sn:0 /R:3 /w:[ 5 9 0 ] //: joint g95 (L) @(1332, 1581) /w:[ 14 16 13 -1 ] //: joint g117 (w37) @(1107, 1433) /w:[ 1 2 -1 4 ] //: joint g94 (w8) @(1066, 1602) /w:[ 7 -1 8 22 ] d g80 (._Preset(w8), ._Clear(w9), .Clk(Clk), .D(w64), .Q(w62), ._Q(w5)); //: @(766, 1618) /sz:(80, 80) /sn:0 /p:[ Ti0>19 Bi0>25 Ri0>27 Ri1>1 Lo0<3 Lo1<1 ] //: joint g44 (w56) @(628, 1642) /w:[ 2 4 -1 1 ] _GGMUX2 #(8, 8) g47 (.I0(w38), .I1(w49), .S(L), .Z(w16)); //: @(1370,1559) /sn:0 /w:[ 1 1 17 0 ] /ss:0 /do:0 //: comment g36 @(1483,1531) /sn:0 //: /line:"0" //: /end //: comment g24 @(967,1531) /sn:0 //: /line:"0" //: /end _GGMUX2 #(8, 8) g85 (.I0(w79), .I1(w82), .S(L), .Z(w83)); //: @(598,1559) /sn:0 /w:[ 1 1 29 0 ] /ss:0 /do:0 //: joint g92 (w9) @(1068, 1714) /w:[ 10 20 9 -1 ] //: joint g105 (w71) @(591, 1441) /w:[ 1 -1 2 4 ] _GGMUX2 #(8, 8) g84 (.I0(w59), .I1(w17), .S(L), .Z(w78)); //: @(726,1559) /sn:0 /w:[ 1 1 27 0 ] /ss:0 /do:0 //: joint g172 (w8) @(1449, 1602) /w:[ 1 -1 2 28 ] //: comment g21 @(866,1531) /sn:0 //: /line:"1" //: /end //: joint g125 (E) @(1494, 1427) /w:[ 2 1 -1 4 ] //: comment g23 @(997,1531) /sn:0 //: /line:"1" //: /end //: comment g41 @(1126,1531) /sn:0 //: /line:"1" //: /end d g81 (._Preset(w8), ._Clear(w9), .Clk(Clk), .D(w68), .Q(w69), ._Q(w21)); //: @(894, 1618) /sz:(80, 80) /sn:0 /p:[ Ti0>21 Bi0>23 Ri0>25 Ri1>1 Lo0<3 Lo1<1 ] //: joint g101 (L) @(689, 1581) /w:[ 4 26 3 -1 ] //: joint g123 (w20) @(1363, 1429) /w:[ 1 2 -1 4 ] _GGAND2 #(6) g116 (.I0(w34), .I1(w51), .Z(w37)); //: @(1176,1433) /sn:0 /R:2 /w:[ 7 0 0 ] //: joint g93 (w8) @(933, 1602) /w:[ 9 -1 10 20 ] _GGMUX2 #(8, 8) g54 (.I0(w36), .I1(w46), .S(L), .Z(w39)); //: @(1501,1559) /sn:0 /w:[ 1 1 15 0 ] /ss:0 /do:0 //: comment g40 @(1096,1531) /sn:0 //: /line:"0" //: /end //: IN g35 (Clr) @(386,1714) /sn:0 /w:[ 0 ] //: joint g22 (w62) @(754, 1642) /w:[ 2 4 -1 1 ] //: IN g126 (E) @(1578,1427) /sn:0 /R:2 /w:[ 3 ] //: joint g90 (w9) @(680, 1714) /w:[ 4 26 3 -1 ] //: comment g26 @(1254,1531) /sn:0 //: /line:"1" //: /end //: joint g46 (w12) @(1400, 1642) /w:[ 2 4 -1 1 ] //: OUT g0 (Q) @(1011,1945) /sn:0 /R:3 /w:[ 1 ] //: joint g114 (w75) @(978, 1435) /w:[ 1 2 -1 4 ] //: joint g97 (L) @(563, 1581) /w:[ 2 28 1 -1 ] //: joint g66 (w9) @(1196, 1714) /w:[ 12 18 11 -1 ] _GGAND2 #(6) g120 (.I0(w13), .I1(w20), .Z(w51)); //: @(1306,1431) /sn:0 /R:2 /w:[ 7 3 3 ] //: joint g82 (w9) @(807, 1714) /w:[ 6 24 5 -1 ] //: comment g18 @(1224,1531) /sn:0 //: /line:"0" //: /end //: IN g128 (Clk) @(1583,1674) /sn:0 /R:2 /w:[ 15 ] assign Q = {w73, w56, w62, w69, w33, w34, w13, w12}; //: CONCAT g136 @(1011,1904) /sn:0 /R:3 /w:[ 0 0 0 0 0 0 0 0 0 ] /dr:1 /tp:0 /drp:1 //: joint g108 (w52) @(719, 1439) /w:[ 1 2 -1 4 ] //: joint g91 (w9) @(935, 1714) /w:[ 8 22 7 -1 ] //: joint g33 (w69) @(884, 1642) /w:[ 2 4 -1 1 ] //: joint g134 (Clk) @(862, 1729) /w:[ 4 26 3 -1 ] //: joint g118 (w34) @(1230, 1476) /w:[ -1 6 5 8 ] //: comment g49 @(580,1531) /sn:0 //: /line:"0" //: /end endmodule //: /netlistEnd //: /netlistBegin MDR module MDR(Clr, bw, Clk, data, bus, br); //: interface /sz:(80, 80) /bd:[ Ti0>br(13/80) Ti1>bw(66/80) Li0>Clk(38/80) Ri0>Clr(37/80) To01 Bi0>15 Ri0>15 Ri1>1 Lo0<3 Lo1<0 ] //: joint g112 (Clk) @(289, 893) /w:[ 17 18 20 -1 ] //: joint g89 (w11) @(510, 849) /w:[ 9 10 12 -1 ] _GGAND2 #(6) g76 (.I0(w9), .I1(w61), .Z(w63)); //: @(416,700) /sn:0 /R:3 /w:[ 23 5 0 ] //: VDD g111 (w87) @(107,722) /sn:0 /w:[ 0 ] //: joint g65 (br) @(718, 656) /w:[ 12 -1 11 22 ] _GGOR2 #(6) g77 (.I0(w64), .I1(w63), .Z(w65)); //: @(428,745) /sn:0 /R:3 /w:[ 1 1 0 ] _GGAND2 #(6) g110 (.I0(w9), .I1(w96), .Z(w93)); //: @(-133,700) /sn:0 /R:3 /w:[ 0 5 0 ] _GGAND2 #(6) g59 (.I0(br), .I1(w50), .Z(w48)); //: @(715,700) /sn:0 /R:3 /w:[ 23 1 0 ] //: joint g72 (w11) @(647, 849) /w:[ 5 6 8 -1 ] //: joint g64 (Clk) @(839, 893) /w:[ 1 2 4 -1 ] _GGAND2 #(6) g98 (.I0(br), .I1(w83), .Z(w82)); //: @(29,700) /sn:0 /R:3 /w:[ 33 1 0 ] //: VDD g99 (w84) @(-167,722) /sn:0 /w:[ 0 ] _GGAND2 #(6) g96 (.I0(w9), .I1(w78), .Z(w81)); //: @(4,700) /sn:0 /R:3 /w:[ 29 5 0 ] //: IN g130 (bw) @(986,1064) /sn:0 /R:2 /w:[ 0 ] //: joint g121 (w36) @(732, 769) /w:[ 2 1 -1 4 ] _GGOR2 #(6) g103 (.I0(w86), .I1(w91), .Z(w88)); //: @(153,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g122 (w53) @(595, 770) /w:[ 2 1 -1 4 ] _GGNBUF #(2) g50 (.I(br), .Z(w9)); //: @(872,632) /sn:0 /R:2 /w:[ 19 15 ] _GGAND2 #(6) g78 (.I0(br), .I1(w66), .Z(w64)); //: @(441,700) /sn:0 /R:3 /w:[ 27 1 0 ] //: VDD g87 (w70) @(245,722) /sn:0 /w:[ 0 ] //: joint g132 (data) @(697, 1027) /w:[ 2 4 -1 1 ] d g113 (._Preset(w84), ._Clear(w11), .Clk(Clk), .D(w94), .Q(w96), ._Q(w97)); //: @(-217, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>29 Ri0>29 Ri1>1 Lo0<3 Lo1<0 ] _GGBUFIF8 #(4, 6) g129 (.Z(bus), .I(data), .E(bw)); //: @(697,1062) /sn:0 /R:3 /w:[ 3 0 1 ] d g102 (._Preset(w87), ._Clear(w11), .Clk(Clk), .D(w88), .Q(w89), ._Q(w90)); //: @(57, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>23 Ri0>23 Ri1>1 Lo0<3 Lo1<0 ] //: joint g115 (br) @(169, 656) /w:[ 4 -1 3 30 ] _GGAND2 #(6) g69 (.I0(br), .I1(w59), .Z(w57)); //: @(578,700) /sn:0 /R:3 /w:[ 25 1 0 ] //: joint g53 (w9) @(830, 632) /w:[ 14 -1 13 16 ] //: joint g75 (w9) @(556, 632) /w:[ 10 -1 9 20 ] //: IN g57 (Clk) @(986,893) /sn:0 /R:2 /w:[ 0 ] assign {w95, w83, w85, w68, w66, w59, w50, w49} = bus; //: CONCAT g119 @(901,544) /sn:0 /w:[ 0 0 0 0 0 0 0 0 0 ] /dr:0 /tp:0 /drp:0 d g71 (._Preset(w60), ._Clear(w11), .Clk(Clk), .D(w58), .Q(w54), ._Q(w62)); //: @(469, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>11 Ri0>11 Ri1>1 Lo0<3 Lo1<0 ] //: joint g124 (w61) @(321, 770) /w:[ 2 4 -1 1 ] _GGOR2 #(6) g68 (.I0(w57), .I1(w56), .Z(w58)); //: @(565,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: OUT g131 (data) @(986,1027) /sn:0 /w:[ 3 ] _GGAND2 #(6) g67 (.I0(w9), .I1(w54), .Z(w56)); //: @(553,700) /sn:0 /R:3 /w:[ 21 5 0 ] //: joint g127 (w78) @(-91, 770) /w:[ 2 4 -1 1 ] _GGOR2 #(6) g48 (.I0(w47), .I1(w44), .Z(w18)); //: @(839,744) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g62 (w11) @(784, 849) /w:[ 1 2 4 -1 ] //: joint g73 (Clk) @(701, 893) /w:[ 5 6 8 -1 ] //: joint g88 (Clk) @(563, 893) /w:[ 9 10 12 -1 ] //: joint g104 (Clk) @(151, 893) /w:[ 21 22 24 -1 ] //: joint g52 (br) @(855, 656) /w:[ 14 -1 13 20 ] //: joint g106 (w11) @(-39, 849) /w:[ 25 26 28 -1 ] _GGAND2 #(6) g107 (.I0(br), .I1(w95), .Z(w92)); //: @(-108,700) /sn:0 /R:3 /w:[ 0 1 0 ] d g63 (._Preset(w51), ._Clear(w11), .Clk(Clk), .D(w27), .Q(w53), ._Q(w55)); //: @(606, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>7 Ri0>7 Ri1>1 Lo0<3 Lo1<0 ] _GGOR2 #(6) g83 (.I0(w69), .I1(w74), .Z(w71)); //: @(291,745) /sn:0 /R:3 /w:[ 1 1 0 ] _GGAND2 #(6) g100 (.I0(br), .I1(w85), .Z(w86)); //: @(166,700) /sn:0 /R:3 /w:[ 31 1 0 ] //: joint g74 (br) @(581, 656) /w:[ 10 -1 9 24 ] //: VDD g109 (w77) @(-30,722) /sn:0 /w:[ 0 ] //: INOUT g133 (bus) @(697,1147) /sn:0 /R:1 /w:[ 5 ] _GGNBUF #(2) g56 (.I(Clr), .Z(w11)); //: @(920,849) /sn:0 /R:2 /w:[ 0 0 ] _GGAND2 #(6) g47 (.I0(br), .I1(w49), .Z(w47)); //: @(852,699) /sn:0 /R:3 /w:[ 21 1 0 ] _GGAND2 #(6) g80 (.I0(br), .I1(w68), .Z(w69)); //: @(304,700) /sn:0 /R:3 /w:[ 29 1 0 ] //: joint g94 (w11) @(98, 849) /w:[ 21 22 24 -1 ] //: joint g79 (w11) @(373, 849) /w:[ 13 14 16 -1 ] d g95 (._Preset(w77), ._Clear(w11), .Clk(Clk), .D(w67), .Q(w78), ._Q(w79)); //: @(-80, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>27 Ri0>27 Ri1>1 Lo0<3 Lo1<0 ] //: joint g117 (br) @(32, 656) /w:[ 2 -1 1 32 ] //: joint g84 (Clk) @(427, 893) /w:[ 13 14 16 -1 ] _GGOR2 #(6) g105 (.I0(w92), .I1(w93), .Z(w94)); //: @(-121,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: VDD g85 (w75) @(382,722) /sn:0 /w:[ 0 ] //: joint g92 (br) @(307, 656) /w:[ 6 -1 5 28 ] //: joint g125 (w72) @(184, 770) /w:[ 2 4 -1 1 ] //: VDD g54 (w45) @(793,721) /sn:0 /w:[ 0 ] //: joint g93 (w9) @(282, 632) /w:[ 6 -1 5 24 ] //: joint g116 (w9) @(144, 632) /w:[ 4 -1 3 26 ] //: joint g123 (w54) @(458, 770) /w:[ 2 4 -1 1 ] //: VDD g60 (w51) @(656,722) /sn:0 /w:[ 0 ] _GGAND2 #(6) g81 (.I0(w9), .I1(w72), .Z(w74)); //: @(279,700) /sn:0 /R:3 /w:[ 25 5 0 ] _GGAND2 #(6) g101 (.I0(w9), .I1(w89), .Z(w91)); //: @(141,700) /sn:0 /R:3 /w:[ 27 5 0 ] _GGAND2 #(6) g46 (.I0(w9), .I1(w36), .Z(w44)); //: @(827,699) /sn:0 /R:3 /w:[ 17 0 0 ] //: joint g90 (br) @(444, 656) /w:[ 8 -1 7 26 ] d g45 (._Preset(w45), ._Clear(w11), .Clk(Clk), .D(w18), .Q(w36), ._Q(w35)); //: @(743, 745) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>3 Ri0>3 Ri1>1 Lo0<3 Lo1<0 ] //: VDD g70 (w60) @(519,722) /sn:0 /w:[ 0 ] //: joint g126 (w89) @(46, 770) /w:[ 2 4 -1 1 ] d g82 (._Preset(w70), ._Clear(w11), .Clk(Clk), .D(w71), .Q(w72), ._Q(w73)); //: @(195, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>19 Ri0>19 Ri1>1 Lo0<3 Lo1<0 ] //: joint g66 (w9) @(693, 632) /w:[ 12 -1 11 18 ] _GGOR2 #(6) g97 (.I0(w82), .I1(w81), .Z(w67)); //: @(16,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g114 (w11) @(236, 849) /w:[ 17 18 20 -1 ] assign data = {w96, w78, w89, w72, w61, w54, w53, w36}; //: CONCAT g120 @(697,997) /sn:0 /R:3 /w:[ 5 0 0 0 0 0 0 5 5 ] /dr:1 /tp:0 /drp:1 //: joint g128 (w96) @(-228, 770) /w:[ 2 4 -1 1 ] //: joint g91 (w9) @(419, 632) /w:[ 8 -1 7 22 ] //: joint g108 (Clk) @(15, 893) /w:[ 25 26 28 -1 ] //: IN g49 (br) @(986,656) /sn:0 /R:2 /w:[ 17 ] //: joint g134 (bus) @(697, 1111) /w:[ 1 2 -1 4 ] //: joint g118 (w9) @(7, 632) /w:[ 2 -1 1 28 ] endmodule //: /netlistEnd //: /netlistBegin CTRL module CTRL(Clr, I, Clk, CON); //: interface /sz:(80, 80) /bd:[ Ti0>I[7:0](41/80) Li0>Clk(35/80) Ri0>Clr(33/80) Bo01 Li0>0 Li1>0 Ri0>1 Ri1>5 Lo0<1 Bo0<1 ] //: joint g3 (w3) @(302, 477) /w:[ 1 -1 2 4 ] //: GROUND g2 (w0) @(421,247) /sn:0 /w:[ 0 ] //: IN g1 (I) @(458,167) /sn:0 /R:3 /w:[ 1 ] assign CON = {CON0, w5}; //: CONCAT g11 @(472,797) /sn:0 /R:3 /w:[ 0 0 0 ] /dr:1 /tp:0 /drp:1 //: GROUND g16 (w18) @(438,683) /sn:0 /w:[ 0 ] _GGROM16x4 #(10, 30) m2 (.A(w13), .D(CON0), .OE(w19)); //: @(402,673) /sn:0 /R:3 /w:[ 0 1 1 ] /mem:"/mnt/sdf2/data/x.mem" _GGNBUF #(2) g10 (.I(Clk), .Z(w3)); //: @(253,477) /sn:0 /w:[ 1 3 ] //: frame g32 @(369,211) /sn:0 /wi:193 /ht:147 /tx:"TBL" //: VDD g6 (w2) @(615,428) /sn:0 /w:[ 0 ] _GGROM16x32 #(10, 30) m1 (.A(w13), .D(w5), .OE(w18)); //: @(476,672) /sn:0 /R:3 /w:[ 5 5 1 ] /mem:"/mnt/sdf2/data/x.mem" assign w13 = {w16, w7}; //: CONCAT g7 @(474,617) /sn:0 /R:3 /w:[ 3 0 0 ] /dr:1 /tp:0 /drp:1 //: IN g9 (Clk) @(183,477) /sn:0 /w:[ 0 ] _GGMUX2x16 #(8, 8) g20 (.I0(w14), .I1(w9), .S(w17), .Z(w1)); //: @(470,338) /sn:0 /w:[ 0 1 1 0 ] /ss:1 /do:0 //: frame g31 @(321,366) /sn:0 /wi:314 /ht:272 /tx:"CNT" //: OUT g17 (CON) @(472,854) /sn:0 /R:3 /w:[ 1 ] _GGROM8x16 #(10, 30) m0 (.A(I), .D(w14), .OE(w0)); //: @(459,240) /sn:0 /R:3 /w:[ 0 1 1 ] /mem:"/mnt/sdf2/data/x.mem" //: GROUND g14 (w9) @(496,310) /sn:0 /w:[ 0 ] //: joint g5 (Clr) @(458, 566) /w:[ 2 4 1 -1 ] //: joint g21 (w10) @(216, 536) /w:[ 2 1 -1 4 ] assign w17 = w5[0]; //: TAP g24 @(475,733) /sn:0 /R:2 /w:[ 0 1 2 ] /ss:1 //: comment g23 @(221,694) /sn:0 //: /line:"jump to instruction execution" //: /end cnt g0 (.D(w4), .L(w10), .Clk(w3), .E(w2), .Clr(Clr), .C(w6), .Q(w7)); //: @(505, 437) /sz:(86, 81) /sn:0 /p:[ Ti0>1 Li0>3 Li1>5 Ri0>1 Ri1>3 Lo0<0 Bo0<1 ] assign w10 = w5[1]; //: TAP g22 @(475,711) /sn:0 /R:2 /w:[ 5 3 4 ] /ss:0 assign {w11, w4} = w1; //: CONCAT g12 @(470,379) /sn:0 /R:1 /w:[ 0 0 1 ] /dr:0 /tp:0 /drp:0 //: joint g33 (w13) @(475, 642) /w:[ -1 2 1 4 ] endmodule //: /netlistEnd //: /netlistBegin tmpOSH module tmpOSH(Z13, Z6, Z8, Z0, Z7, Z12, Z1, Z4, Z9, Z3, Z2, Z10, Z5, Z11); //: interface /sz:(72, 240) /bd:[ Ro0_Preset(40/80) Li0>Clk(52/80) Li1>T(22/80) Bi0>_Clear(41/80) Ro01 Li0>1 Li1>0 Bi0>1 Ro0<5 Ro1<5 ] //: IN g12 (_Preset) @(75,65) /sn:0 /w:[ 0 ] endmodule //: /netlistEnd //: /netlistBegin regTest module regTest(Clk, _start, value, bR, bus); //: interface /sz:(136, 80) /bd:[ Li0>bR(16/80) Li1>bus[7:0](32/80) Li2>Clk(48/80) Li3>_start(64/80) Ro01 Li0>17 Li1>1 Bi0>17 Ro0<0 Ro1<1 ] _GGAND2 #(6) g4 (.I0(w1), .I1(w60), .Z(w12)); //: @(388,231) /sn:0 /R:3 /w:[ 0 17 0 ] //: joint g8 (bR) @(322, 197) /w:[ 15 16 18 -1 ] //: joint g86 (w69) @(1543, 301) /w:[ 1 2 4 -1 ] //: VDD g58 (w61) @(1353,253) /sn:0 /w:[ 0 ] _GGOR2 #(6) g55 (.I0(w56), .I1(w57), .Z(w58)); //: @(1281,276) /sn:0 /R:3 /w:[ 1 1 0 ] _GGOR2 #(6) g51 (.I0(w47), .I1(w48), .Z(w49)); //: @(1127,275) /sn:0 /R:3 /w:[ 1 1 0 ] _GGAND2 #(6) g3 (.I0(w14), .I1(bR), .Z(w13)); //: @(363,231) /sn:0 /R:3 /w:[ 1 21 0 ] _GGOR2 #(6) g13 (.I0(w22), .I1(w24), .Z(w2)); //: @(521,278) /sn:0 /R:3 /w:[ 1 1 0 ] //: IN g34 (Clk) @(220,417) /sn:0 /w:[ 0 ] //: joint g37 (Clk) @(681, 417) /w:[ 6 24 5 -1 ] _GGOR2 #(6) g2 (.I0(w12), .I1(w13), .Z(w0)); //: @(375,276) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g76 (bR) @(1113, 197) /w:[ 3 -1 4 30 ] //: joint g77 (bR) @(1267, 197) /w:[ 1 -1 2 32 ] //: joint g65 (_start) @(1040, 393) /w:[ 10 20 9 -1 ] _GGAND2 #(6) g59 (.I0(w62), .I1(bR), .Z(w57)); //: @(1269,231) /sn:0 /R:3 /w:[ 0 33 0 ] //: VDD g72 (w82) @(426,385) /sn:0 /R:2 /w:[ 0 ] D g1 (._Preset(_start), .Clk(Clk), .D(w2), ._Clear(w81), .Q(w7), ._Q(w10)); //: @(544, 286) /sz:(74, 75) /sn:0 /p:[ Ti0>27 Li0>27 Li1>1 Bi0>0 Ro0<5 Ro1<1 ] _GGAND2 #(6) g64 (.I0(w72), .I1(bR), .Z(w67)); //: @(1420,230) /sn:0 /R:3 /w:[ 0 0 0 ] _GGAND2 #(6) g11 (.I0(w7), .I1(w60), .Z(w22)); //: @(534,233) /sn:0 /R:3 /w:[ 0 19 0 ] _GGOR2 #(6) g16 (.I0(w25), .I1(w26), .Z(w15)); //: @(671,276) /sn:0 /R:3 /w:[ 1 1 0 ] _GGOR2 #(6) g50 (.I0(w27), .I1(w35), .Z(w36)); //: @(978,276) /sn:0 /R:3 /w:[ 1 1 0 ] assign {w72, w62, w52, w18, w16, w19, w17, w14} = bus; //: CONCAT g10 @(401,54) /sn:0 /R:1 /w:[ 1 1 1 1 0 1 1 0 0 ] /dr:1 /tp:1 /drp:0 //: joint g78 (w60) @(989, 178) /w:[ 5 -1 6 24 ] //: joint g28 (_start) @(1177, 393) /w:[ 12 18 11 -1 ] //: joint g32 (Clk) @(1295, 417) /w:[ 14 16 13 -1 ] _GGAND2 #(6) g19 (.I0(w19), .I1(bR), .Z(w26)); //: @(659,231) /sn:0 /R:3 /w:[ 0 25 0 ] //: joint g27 (_start) @(732, 249) /w:[ 6 -1 5 24 ] //: VDD g69 (w79) @(877,381) /sn:0 /R:2 /w:[ 1 ] //: joint g6 (bR) @(361, 197) /w:[ 13 -1 14 20 ] assign value = {w69, w59, w50, w44, w37, w29, w7, w1}; //: CONCAT g38 @(536,546) /sn:0 /R:3 /w:[ 0 0 3 0 3 0 0 3 3 ] /dr:0 /tp:0 /drp:1 _GGAND2 #(6) g57 (.I0(w59), .I1(w60), .Z(w56)); //: @(1294,231) /sn:0 /R:3 /w:[ 5 29 0 ] //: VDD g53 (w51) @(1199,252) /sn:0 /w:[ 0 ] _GGNBUF #(2) g7 (.I(bR), .Z(w60)); //: @(336,178) /sn:0 /w:[ 17 15 ] //: joint g9 (w60) @(386, 178) /w:[ 13 -1 14 16 ] //: joint g75 (bR) @(964, 197) /w:[ 5 -1 6 28 ] //: VDD g71 (w81) @(571,388) /sn:0 /R:2 /w:[ 1 ] //: joint g31 (Clk) @(1136, 417) /w:[ 12 18 11 -1 ] //: joint g15 (w60) @(532, 178) /w:[ 11 -1 12 18 ] //: joint g20 (bR) @(657, 197) /w:[ 9 -1 10 24 ] //: joint g39 (w1) @(484, 303) /w:[ 2 1 4 -1 ] //: IN g67 (_start) @(243,256) /sn:0 /w:[ 0 ] //: joint g68 (_start) @(437, 256) /w:[ -1 2 1 28 ] //: joint g43 (w44) @(1090, 301) /w:[ 2 4 1 -1 ] _GGAND2 #(6) g48 (.I0(w44), .I1(w60), .Z(w27)); //: @(991,231) /sn:0 /R:3 /w:[ 5 25 0 ] //: OUT g88 (value) @(718,606) /sn:0 /R:3 /w:[ 1 ] //: joint g73 (w50) @(1245, 301) /w:[ 1 2 4 -1 ] _GGAND2 #(6) g62 (.I0(w69), .I1(w60), .Z(w66)); //: @(1445,230) /sn:0 /R:3 /w:[ 3 0 0 ] _GGAND2 #(6) g17 (.I0(w29), .I1(w60), .Z(w25)); //: @(684,231) /sn:0 /R:3 /w:[ 3 21 0 ] _GGAND2 #(6) g25 (.I0(w16), .I1(bR), .Z(w31)); //: @(815,231) /sn:0 /R:3 /w:[ 1 27 0 ] //: joint g29 (_start) @(1342, 393) /w:[ 14 16 13 -1 ] //: VDD g63 (w71) @(1504,252) /sn:0 /w:[ 0 ] _GGAND2 #(6) g52 (.I0(w50), .I1(w60), .Z(w47)); //: @(1140,230) /sn:0 /R:3 /w:[ 3 27 0 ] //: joint g42 (w37) @(938, 302) /w:[ 1 2 4 -1 ] //: joint g74 (bR) @(813, 197) /w:[ 7 -1 8 26 ] D g56 (._Preset(w51), .Clk(Clk), .D(w49), ._Clear(_start), .Q(w50), ._Q(w55)); //: @(1150, 283) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>19 Li1>1 Bi0>19 Ro0<5 Ro1<1 ] //: IN g5 (bR) @(220,197) /sn:0 /w:[ 19 ] //: joint g14 (bR) @(507, 197) /w:[ 11 -1 12 22 ] //: joint g44 (w60) @(838, 178) /w:[ 7 -1 8 22 ] //: joint g79 (w60) @(1138, 178) /w:[ 3 -1 4 26 ] //: joint g80 (w60) @(1292, 178) /w:[ 1 -1 2 28 ] //: joint g47 (_start) @(888, 249) /w:[ 8 -1 7 22 ] //: joint g84 (w59) @(1392, 302) /w:[ 2 4 1 -1 ] //: joint g21 (w60) @(682, 178) /w:[ 9 -1 10 20 ] D g24 (._Preset(_start), .Clk(Clk), .D(w33), ._Clear(w79), .Q(w37), ._Q(w38)); //: @(850, 284) /sz:(74, 75) /sn:0 /p:[ Ti0>23 Li0>23 Li1>1 Bi0>0 Ro0<5 Ro1<1 ] //: joint g36 (Clk) @(526, 417) /w:[ 4 26 3 -1 ] _GGAND2 #(6) g23 (.I0(w37), .I1(w60), .Z(w23)); //: @(840,231) /sn:0 /R:3 /w:[ 3 23 0 ] //: joint g41 (w29) @(782, 302) /w:[ 1 2 4 -1 ] _GGOR2 #(6) g60 (.I0(w66), .I1(w67), .Z(w68)); //: @(1432,275) /sn:0 /R:3 /w:[ 1 1 0 ] _GGAND2 #(6) g54 (.I0(w52), .I1(bR), .Z(w48)); //: @(1115,230) /sn:0 /R:3 /w:[ 0 31 0 ] //: joint g40 (w7) @(632, 304) /w:[ 2 1 4 -1 ] //: VDD g70 (w80) @(721,394) /sn:0 /R:2 /w:[ 0 ] _GGAND2 #(6) g46 (.I0(w18), .I1(bR), .Z(w35)); //: @(966,231) /sn:0 /R:3 /w:[ 0 29 0 ] //: VDD g45 (w43) @(1050,253) /sn:0 /w:[ 0 ] D g0 (._Preset(_start), .Clk(Clk), .D(w0), ._Clear(w82), .Q(w1), ._Q(w4)); //: @(399, 285) /sz:(74, 75) /sn:0 /p:[ Ti0>29 Li0>29 Li1>1 Bi0>1 Ro0<5 Ro1<1 ] _GGOR2 #(6) g22 (.I0(w23), .I1(w31), .Z(w33)); //: @(827,276) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g35 (Clk) @(381, 417) /w:[ 2 28 1 -1 ] //: IN g90 (bus) @(401,-5) /sn:0 /R:3 /w:[ 1 ] //: joint g26 (_start) @(582, 249) /w:[ 4 -1 3 26 ] D g66 (._Preset(w71), .Clk(Clk), .D(w68), ._Clear(_start), .Q(w69), ._Q(w75)); //: @(1455, 283) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>15 Li1>1 Bi0>15 Ro0<5 Ro1<1 ] _GGAND2 #(6) g12 (.I0(w17), .I1(bR), .Z(w24)); //: @(509,233) /sn:0 /R:3 /w:[ 0 23 0 ] D g18 (._Preset(_start), .Clk(Clk), .D(w15), ._Clear(w80), .Q(w29), ._Q(w30)); //: @(694, 284) /sz:(74, 75) /sn:0 /p:[ Ti0>25 Li0>25 Li1>1 Bi0>1 Ro0<5 Ro1<1 ] //: joint g33 (Clk) @(989, 417) /w:[ 10 20 9 -1 ] //: joint g30 (Clk) @(834, 417) /w:[ 8 22 7 -1 ] D g49 (._Preset(w43), .Clk(Clk), .D(w36), ._Clear(_start), .Q(w44), ._Q(w46)); //: @(1002, 283) /sz:(74, 75) /sn:0 /p:[ Ti0>1 Li0>21 Li1>1 Bi0>21 Ro0<0 Ro1<1 ] endmodule //: /netlistEnd //: /netlistBegin d module d(_Preset, Q, D, _Clear, Clk, _Q); //: interface /sz:(80, 80) /bd:[ Ti0>_Preset(39/80) Bi0>_Clear(41/80) Ri0>D(24/80) Ri1>Clk(56/80) Lo0<_Q(56/80) Lo1_Preset(38/74) Li0>Clk(48/75) Li1>D(20/75) Bi0>_Clear(38/74) Ro0B[7:0](62/80) Ti1>A[7:0](40/80) Ti2>f(17/80) Ri0>Ci(37/80) Lo00 Ti1>0 Ri0>1 Lo0<0 Bo0<1 ] //: OUT g3 (Co) @(-117,216) /sn:0 /R:2 /w:[ 1 ] //: joint g13 (f) @(120, 133) /w:[ 25 -1 26 32 ] //: joint g34 (w18) @(71, 219) /w:[ 1 -1 2 4 ] //: comment g37 @(-141,228) /sn:0 //: /line:"carry out" //: /line:"borrow out" //: /end fa g2 (.a(w3), .b(w15), .ci(w12), .co(w7), .s(w17)); //: @(454, 193) /sz:(54, 52) /R:3 /sn:0 /p:[ Ti0>1 Ti1>1 Ri0>1 Lo0<0 Bo0<1 ] //: IN g1 (Ci) @(648,-122) /sn:0 /R:3 /w:[ 1 ] //: IN g11 (A) @(-36,-128) /sn:0 /R:3 /w:[ 0 ] _GGXOR2 #(8) g16 (.I0(f), .I1(w30), .Z(w31)); //: @(40,163) /sn:0 /R:3 /w:[ 31 1 1 ] //: IN g10 (B) @(528,-122) /sn:0 /R:3 /w:[ 0 ] fa g28 (.a(w33), .b(w34), .ci(ci0), .co(w25), .s(w11)); //: @(230, 193) /sz:(54, 52) /R:3 /sn:0 /p:[ Ti0>1 Ti1>0 Ri0>0 Lo0<0 Bo0<1 ] //: joint g27 (f) @(419, 133) /w:[ 4 -1 3 18 ] _GGXOR2 #(8) g19 (.I0(f), .I1(w20), .Z(w1)); //: @(339,163) /sn:0 /R:3 /w:[ 0 1 1 ] fa g32 (.a(w29), .b(w31), .ci(w18), .co(w38), .s(w40)); //: @(5, 193) /sz:(54, 52) /R:3 /sn:0 /p:[ Ti0>1 Ti1>0 Ri0>3 Lo0<0 Bo0<1 ] assign S = {w40, w19, w8, w11, w4, w9, w17, w13}; //: CONCAT g6 @(299,320) /sn:0 /R:3 /w:[ 1 0 0 0 0 0 0 0 0 ] /dr:1 /tp:0 /drp:1 //: comment g38 @(79,337) /sn:0 //: /line:"overflow" //: /end assign {w29, w35, w21, w33, w2, w27, w3, w5} = A; //: CONCAT g9 @(-36,-20) /sn:0 /R:1 /w:[ 0 0 0 0 1 1 0 0 1 ] /dr:0 /tp:0 /drp:0 //: OUT g7 (S) @(299,340) /sn:0 /R:3 /w:[ 0 ] _GGXOR2 #(8) g20 (.I0(f), .I1(w22), .Z(w6)); //: @(416,163) /sn:0 /R:3 /w:[ 19 1 1 ] fa g15 (.a(w21), .b(w23), .ci(w25), .co(w16), .s(w8)); //: @(155, 193) /sz:(54, 52) /R:3 /sn:0 /p:[ Ti0>1 Ti1>1 Ri0>1 Lo0<0 Bo0<1 ] //: joint g31 (f) @(342, 133) /w:[ 2 1 -1 20 ] _GGXOR2 #(8) g39 (.I0(Ci), .I1(f), .Z(w28)); //: @(645,164) /sn:0 /R:3 /w:[ 0 11 0 ] //: joint g43 (f) @(43, 133) /w:[ 27 -1 28 30 ] //: joint g25 (f) @(568, 133) /w:[ 8 -1 7 14 ] _GGXOR2 #(8) g17 (.I0(f), .I1(w32), .Z(w14)); //: @(117,163) /sn:0 /R:3 /w:[ 33 1 1 ] //: joint g29 (f) @(194, 133) /w:[ 23 -1 24 34 ] _GGXOR2 #(8) g42 (.I0(w38), .I1(f), .Z(Co)); //: @(-65,216) /sn:0 /R:2 /w:[ 3 29 0 ] fa g5 (.a(w5), .b(w10), .ci(w28), .co(w12), .s(w13)); //: @(529, 193) /sz:(54, 52) /R:3 /sn:0 /p:[ Ti0>1 Ti1>0 Ri0>1 Lo0<0 Bo0<1 ] fa g14 (.a(w35), .b(w14), .ci(w16), .co(w18), .s(w19)); //: @(80, 193) /sz:(54, 52) /R:3 /sn:0 /p:[ Ti0>1 Ti1>0 Ri0>1 Lo0<0 Bo0<1 ] //: comment g44 @(657,-113) /sn:0 //: /line:"carry in" //: /line:"borrow in" //: /end //: IN g24 (f) @(599,-122) /sn:0 /R:3 /w:[ 13 ] _GGXOR2 #(8) g21 (.I0(f), .I1(w24), .Z(w15)); //: @(490,163) /sn:0 /R:3 /w:[ 17 1 0 ] //: OUT g36 (O) @(68,341) /sn:0 /R:3 /w:[ 0 ] //: joint g41 (f) @(599, 133) /w:[ 10 12 9 -1 ] _GGXOR2 #(8) g23 (.I0(f), .I1(w36), .Z(w23)); //: @(191,163) /sn:0 /R:3 /w:[ 35 0 0 ] //: comment g40 @(3,-135) /sn:0 //: /line:"f=0" //: /line:" viene calcolata la somma (A + B) e generato eventualmente il riporto;" //: /line:" Ci e Co funzionano in qualit� di riporti (in ingresso e in uscita)." //: /line:"f=1" //: /line:" viene calcolata la sottrazione (A - B) e generato eventualmente la richiesta di prestito;" //: /line:" Ci e Co funzionano in qualit� di richiesta di prestito (borrow)." //: /line:"" //: /end //: joint g26 (f) @(493, 133) /w:[ 6 -1 5 16 ] _GGXOR2 #(8) g22 (.I0(f), .I1(w26), .Z(w10)); //: @(565,163) /sn:0 /R:3 /w:[ 15 1 1 ] fa g0 (.a(w2), .b(w1), .ci(w0), .co(ci0), .s(w4)); //: @(304, 193) /sz:(54, 52) /R:3 /sn:0 /p:[ Ti0>0 Ti1>0 Ri0>1 Lo0<1 Bo0<1 ] //: joint g35 (w38) @(-19, 219) /w:[ 1 -1 2 4 ] //: comment g12 @(505,360) /sn:0 //: /line:"." //: /end //: joint g18 (f) @(269, 133) /w:[ 21 -1 22 36 ] _GGXOR2 #(8) g30 (.I0(f), .I1(w37), .Z(w34)); //: @(266,163) /sn:0 /R:3 /w:[ 37 0 1 ] _GGXOR2 #(8) g33 (.I0(w18), .I1(w38), .Z(O)); //: @(68,316) /sn:0 /R:3 /w:[ 5 5 1 ] endmodule //: /netlistEnd //: /netlistBegin fa module fa(ci, a, b, co, s); //: interface /sz:(40, 40) /bd:[ Ti0>ci(20/40) Li0>b(25/40) Li1>a(10/40) Bo00 Li1>1 Bo0<1 Ro0<0 ] //: comment g0 @(240,283) /sn:0 //: /line:"." //: /end //: comment g22 @(131,152) /sn:0 //: /line:"ci a b | co s" //: /line:"" //: /line:"0 0 0 | 0 0" //: /line:"0 0 1 | 0 1" //: /line:"0 1 0 | 0 1" //: /line:"0 1 1 | 1 0" //: /line:"1 0 0 | 0 1" //: /line:"1 0 1 | 1 0" //: /line:"1 1 0 | 1 0" //: /line:"1 1 1 | 1 1" //: /line:"" //: /end ha g26 (.a(ci), .b(w2), .c(w0), .s(s)); //: @(-23, 196) /sz:(40, 40) /sn:0 /p:[ Li0>1 Li1>1 Bo0<1 Ro0<1 ] //: OUT g12 (co) @(75,261) /sn:0 /w:[ 0 ] //: OUT g18 (s) @(75,213) /sn:0 /w:[ 0 ] endmodule //: /netlistEnd //: /netlistBegin t module t(_Clear, Q, Clk, T, _Q, _Preset); //: interface /sz:(80, 80) /bd:[ Ti0>_Preset(40/80) Bi0>_Clear(39/80) Ri0>T(24/80) Ri1>Clk(54/80) Lo0<_Q(54/80) Lo11 Li0>1 Li1>0 Bi0>1 Ro0<5 Ro1<5 ] //: IN g12 (_Preset) @(75,65) /sn:0 /w:[ 0 ] endmodule //: /netlistEnd //: /netlistBegin GOOD_MODULES module GOOD_MODULES(); //: interface /sz:(40, 40) /bd:[ ] /pd: 0 /pi: 0 /pe: 1 /pp: 1 wire w6; //: /sn:0 {0}(475,38)(475,23){1} wire [11:0] w32; //: /sn:0 {0}(#:258,199)(155,199){1} wire w7; //: /sn:0 {0}(474,120)(474,135){1} wire [7:0] w45; //: /sn:0 {0}(#:750,166)(750,151){1} wire [7:0] w60; //: /sn:0 {0}(#:457,150)(457,165){1} wire w46; //: /sn:0 {0}(724,166)(724,151){1} wire w56; //: /sn:0 {0}(105,326)(120,326){1} wire w16; //: /sn:0 {0}(646,57)(661,57){1} wire w14; //: /sn:0 {0}(570,59)(555,59){1} wire Fdiv1; //: /sn:0 {0}(279,154)(264,154){1} wire w19; //: /sn:0 {0}(743,116)(743,131){1} wire w15; //: /sn:0 {0}(609,115)(609,130){1} wire [11:0] w4; //: /sn:0 {0}(#:327,22)(327,37){1} wire [7:0] w38; //: /sn:0 {0}(#:462,262)(462,247){1} wire w51; //: /sn:0 {0}(841,207)(826,207){1} wire Fdiv0; //: /sn:0 {0}(279,144)(264,144){1} wire w3; //: /sn:0 {0}(329,134)(329,119){1} wire w0; //: /sn:0 {0}(234,64)(219,64){1} wire w37; //: /sn:0 {0}(519,216)(504,216){1} wire w34; //: /sn:0 {0}(494,177)(494,177)(514,177)(514,192)(504,192){1} wire [7:0] w43; //: /sn:0 {0}(#:608,168)(608,153){1} wire w21; //: /sn:0 {0}(783,91)(798,91){1} wire [7:0] w54; //: /sn:0 {0}(#:882,250)(882,265){1} wire w58; //: /sn:0 {0}(217,327)(202,327){1} wire Fdiv3; //: /sn:0 {0}(264,174)(279,174){1} wire w31; //: /sn:0 {0}(264,254)(279,254){1} wire w28; //: /sn:0 {0}(264,224)(279,224){1} wire w41; //: /sn:0 {0}(567,207)(552,207){1} wire w36; //: /sn:0 {0}(403,193)(418,193){1} wire w23; //: /sn:0 {0}(701,59)(686,59){1} wire w20; //: /sn:0 {0}(783,59)(798,59){1} wire w24; //: /sn:0 {0}(264,184)(279,184){1} wire [11:0] w1; //: /sn:0 {0}(#:234,91)(219,91){1} wire w25; //: /sn:0 {0}(264,194)(279,194){1} wire w40; //: /sn:0 {0}(634,168)(634,153){1} wire w35; //: /sn:0 {0}(435,150)(435,165){1} wire w18; //: /sn:0 {0}(741,34)(741,19){1} wire w8; //: /sn:0 {0}(516,63)(531,63){1} wire w30; //: /sn:0 {0}(264,244)(279,244){1} wire w22; //: /sn:0 {0}(701,91)(686,91){1} wire w17; //: /sn:0 {0}(646,89)(661,89){1} wire [7:0] w59; //: /sn:0 {0}(#:162,273)(162,288){1} wire [7:0] w53; //: /sn:0 {0}(#:883,168)(883,153){1} wire [7:0] w57; //: /sn:0 {0}(#:164,385)(164,370){1} wire w49; //: /sn:0 {0}(855,168)(855,153){1} wire [7:0] w44; //: /sn:0 {0}(#:610,250)(610,265){1} wire w12; //: /sn:0 {0}(609,38)(609,23){1} wire w11; //: /sn:0 {0}(434,63)(419,63){1} wire w2; //: /sn:0 {0}(255,76)(270,76){1} wire w10; //: /sn:0 {0}(434,93)(419,93){1} wire w13; //: /sn:0 {0}(570,87)(555,87){1} wire w27; //: /sn:0 {0}(264,214)(279,214){1} wire w52; //: /sn:0 {0}(923,206)(938,206){1} wire w33; //: /sn:0 {0}(483,150)(483,165){1} wire w5; //: /sn:0 {0}(96,69)(111,69){1} wire [7:0] w48; //: /sn:0 {0}(#:752,248)(752,263){1} wire w29; //: /sn:0 {0}(264,234)(279,234){1} wire F0; //: /sn:0 {0}(229,172)(155,172){1} wire w47; //: /sn:0 {0}(776,166)(776,151){1} wire w50; //: /sn:0 {0}(908,168)(908,153){1} wire w42; //: /sn:0 {0}(649,207)(664,207){1} wire w9; //: /sn:0 {0}(516,93)(531,93){1} wire w55; //: /sn:0 {0}(139,273)(139,288){1} wire w39; //: /sn:0 {0}(582,168)(582,153){1} wire Fdiv2; //: /sn:0 {0}(264,164)(279,164){1} wire w26; //: /sn:0 {0}(264,204)(279,204){1} //: enddecls D g4 (._Preset(w12), .D(w14), .Clk(w13), ._Clear(w15), ._Q(w17), .Q(w16)); //: @(571, 39) /sz:(74, 75) /sn:0 /p:[ Ti0>0 Li0>0 Li1>0 Bi0>0 Ro0<0 Ro1<0 ] oscillator g8 (.F0(F0), .Fdiv(w32)); //: @(74, 146) /sz:(80, 80) /sn:0 /p:[ Ro0<1 Ro1<1 ] t g3 (._Preset(w6), ._Clear(w7), .Clk(w9), .T(w8), .Q(w11), ._Q(w10)); //: @(435, 39) /sz:(80, 80) /sn:0 /p:[ Ti0>0 Bi0>0 Ri0>0 Ri1>0 Lo0<0 Lo1<0 ] one_up g2 (.Z(w5)); //: @(87, 69) /symbol:146042696 /sn:0 /w:[ 0 ] freq_div g1 (._Clr(w2), .Fin(w3), .Fout(w4)); //: @(271, 38) /sz:(120, 80) /sn:0 /p:[ Li0>1 Bi0>1 To0<1 ] MDR g11 (.bw(w50), .br(w49), .Clk(w51), .Clr(w52), .data(w53), .bus(w54)); //: @(842, 169) /sz:(80, 80) /sn:0 /p:[ Ti0>0 Ti1>0 Li0>0 Ri0>0 To0<0 Bt0=0 ] RAM g10 (.bw(w47), .br(w46), .Addr(w45), .bus(w48)); //: @(710, 167) /sz:(80, 80) /sn:0 /p:[ Ti0>0 Ti1>0 Ti2>0 Bt0=0 ] assign {w31, w30, w29, w28, w27, w26, w25, w24, Fdiv3, Fdiv2, Fdiv1, Fdiv0} = w32; //: CONCAT g6 @(259,199) /sn:0 /R:2 /w:[ 0 0 0 0 0 0 0 0 0 0 1 1 0 ] /dr:0 /tp:0 /drp:0 MAR g9 (.bw(w40), .br(w39), .Clk(w41), .Clr(w42), .data(w43), .bus(w44)); //: @(568, 169) /sz:(80, 80) /sn:0 /p:[ Ti0>0 Ti1>0 Li0>0 Ri0>0 To0<0 Bt0=0 ] PC g7 (.br(w35), .bw(w33), .Clk(w36), .Clr(w37), .Inc(w34), .data(w60), .bus(w38)); //: @(419, 166) /sz:(84, 80) /sn:0 /p:[ Ti0>1 Ti1>1 Li0>1 Ri0>1 Ri1>1 To0<1 Bt0=1 ] d g5 (._Preset(w18), ._Clear(w19), .Clk(w21), .D(w20), .Q(w23), ._Q(w22)); //: @(702, 35) /sz:(80, 80) /sn:0 /p:[ Ti0>0 Bi0>0 Ri0>0 Ri1>0 Lo0<0 Lo1<0 ] oscillator g0 (.F0(w0), .Fdiv(w1)); //: @(138, 38) /sz:(80, 80) /sn:0 /p:[ Ro0<1 Ro1<1 ] IRorg g12 (.br(w55), .Clk(w56), .bus(w57), .Clr(w58), .data(w59)); //: @(121, 289) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Li0>1 Bi0>1 Ri0>1 To0<1 ] endmodule //: /netlistEnd //: /netlistBegin ADD module ADD(bW, A, B, bus); //: interface /sz:(70, 57) /bd:[ Ti0>bW(57/70) Ti1>B[7:0](37/70) Ti2>A[7:0](10/70) Bo0A[7:0](60/120) Ti1>la(98/120) Ti2>lr(22/120) Li0>lin(30/120) Ri0>rin(61/120) Lo0_Clr(38/80) Bi0>Fin(58/120) To017 Bi0>13 Ri0>11 Ri1>15 Lo0<5 Lo1<0 ] _GGAND2 #(6) g165 (.I0(F9), .I1(w92), .Z(w96)); //: @(860,1215) /sn:0 /R:2 /w:[ 5 0 3 ] //: joint g8 (F1) @(1132, 983) /w:[ 2 1 8 -1 ] //: joint g198 (w6) @(796, 927) /w:[ 4 -1 3 22 ] t g13 (._Preset(w6), ._Clear(_Clr), .Clk(Fin), .T(w88), .Q(F4), ._Q(w74)); //: @(756, 959) /sz:(80, 80) /sn:0 /p:[ Ti0>23 Bi0>19 Ri0>23 Ri1>5 Lo0<3 Lo1<0 ] //: joint g139 (w84) @(702, 983) /w:[ 2 1 4 -1 ] //: joint g267 (F9) @(891, 1215) /w:[ 1 2 -1 4 ] //: joint g261 (F7) @(1172, 1214) /w:[ 2 4 -1 1 ] //: joint g211 (_Clr) @(939, 1287) /w:[ 32 38 31 -1 ] //: joint g250 (F2) @(1030, 983) /w:[ 2 4 -1 1 ] //: joint g148 (w96) @(846, 1215) /w:[ 2 1 4 -1 ] //: OUT g252 (Fout) @(1472,873) /sn:0 /w:[ 0 ] //: VDD g238 (w7) @(413,1151) /sn:0 /w:[ 0 ] _GGAND2 #(6) g163 (.I0(F11), .I1(w101), .Z(w121)); //: @(572,1214) /sn:0 /R:2 /w:[ 0 0 0 ] //: joint g203 (w7) @(796, 1163) /w:[ 6 -1 5 16 ] _GGAND2 #(6) g153 (.I0(F8), .I1(w98), .Z(w92)); //: @(1004,1215) /sn:0 /R:2 /w:[ 5 0 3 ] //: joint g259 (F11) @(604, 1215) /w:[ 2 4 1 -1 ] //: joint g166 (w101) @(702, 1215) /w:[ 2 1 4 -1 ] _GGAND2 #(6) g11 (.I0(F3), .I1(w76), .Z(w88)); //: @(860,983) /sn:0 /R:2 /w:[ 0 0 3 ] assign w14 = {F12, F11, F10, F9, F8, F7}; //: CONCAT g277 @(817,1117) /sn:0 /R:1 /w:[ 1 5 5 0 3 3 5 ] /dr:0 /tp:0 /drp:1 //: joint g241 (Fin) @(999, 1302) /w:[ 33 34 36 -1 ] //: joint g206 (_Clr) @(795, 1055) /w:[ 8 18 7 -1 ] //: joint g246 (_Clr) @(359, 1055) /w:[ 2 -1 1 24 ] t g103 (._Preset(w6), ._Clear(_Clr), .Clk(Fin), .T(w72), .Q(F6), ._Q(w81)); //: @(461, 959) /sz:(80, 80) /sn:0 /p:[ Ti0>0 Bi0>23 Ri0>29 Ri1>5 Lo0<5 Lo1<0 ] //: joint g254 (F4) @(747, 983) /w:[ 2 4 -1 1 ] //: joint g266 (F8) @(1031, 1218) /w:[ 1 2 4 -1 ] //: joint g212 (_Clr) @(1078, 1287) /w:[ 34 36 33 -1 ] //: joint g223 (_Clr) @(500, 1055) /w:[ 4 22 3 -1 ] //: joint g197 (w6) @(652, 927) /w:[ 2 -1 1 24 ] //: joint g199 (w6) @(940, 927) /w:[ 6 -1 5 20 ] t g150 (._Preset(w7), ._Clear(_Clr), .Clk(Fin), .T(w92), .Q(F9), ._Q(w94)); //: @(900, 1191) /sz:(80, 80) /sn:0 /p:[ Ti0>15 Bi0>39 Ri0>35 Ri1>5 Lo0<0 Lo1<0 ] //: joint g146 (w91) @(1274, 1181) /w:[ -1 1 2 4 ] //: joint g208 (_Clr) @(1078, 1055) /w:[ 12 14 11 -1 ] //: joint g177 (w121) @(550, 1214) /w:[ 1 2 4 -1 ] t g6 (._Preset(w6), ._Clear(_Clr), .Clk(Fin), .T(w76), .Q(F3), ._Q(w66)); //: @(900, 959) /sz:(80, 80) /sn:0 /p:[ Ti0>21 Bi0>17 Ri0>19 Ri1>5 Lo0<3 Lo1<0 ] //: joint g240 (Fin) @(1138, 1302) /w:[ 2 1 32 -1 ] _GGAND2 #(6) g7 (.I0(F2), .I1(F1), .Z(w76)); //: @(1004,983) /sn:0 /R:2 /w:[ 0 0 3 ] //: IN g245 (_Clr) @(337,1055) /sn:0 /w:[ 0 ] t g169 (._Preset(w7), ._Clear(_Clr), .Clk(Fin), .T(w91), .Q(F7), ._Q(w119)); //: @(1178, 1190) /sz:(80, 80) /sn:0 /p:[ Ti0>11 Bi0>35 Ri0>31 Ri1>5 Lo0<3 Lo1<0 ] t g160 (._Preset(w7), ._Clear(_Clr), .Clk(Fin), .T(w98), .Q(F8), ._Q(w108)); //: @(1039, 1191) /sz:(80, 80) /sn:0 /p:[ Ti0>13 Bi0>37 Ri0>0 Ri1>5 Lo0<0 Lo1<0 ] _GGAND2 #(6) g135 (.I0(F4), .I1(w88), .Z(w84)); //: @(716,983) /sn:0 /R:2 /w:[ 0 0 3 ] _GGAND2 #(6) g142 (.I0(F6), .I1(w72), .Z(w91)); //: @(422,976) /sn:0 /R:2 /w:[ 0 0 0 ] assign w15 = {F6, F5, F4, F3, F2, F1}; //: CONCAT g262 @(823,876) /sn:0 /R:1 /w:[ 1 3 5 5 5 5 7 ] /dr:0 /tp:0 /drp:1 //: joint g230 (w6) @(1219, 927) /w:[ 10 -1 9 16 ] //: joint g207 (_Clr) @(939, 1055) /w:[ 10 16 9 -1 ] //: joint g200 (w6) @(1079, 927) /w:[ 8 -1 7 18 ] //: joint g205 (_Clr) @(651, 1055) /w:[ 6 20 5 -1 ] t g179 (._Preset(w7), ._Clear(_Clr), .Clk(Fin), .T(w121), .Q(F12), ._Q(w126)); //: @(460, 1190) /sz:(80, 80) /sn:0 /p:[ Ti0>21 Bi0>45 Ri0>45 Ri1>5 Lo0<3 Lo1<0 ] t g138 (._Preset(w6), ._Clear(_Clr), .Clk(Fin), .T(w84), .Q(F5), ._Q(w87)); //: @(612, 959) /sz:(80, 80) /sn:0 /p:[ Ti0>25 Bi0>21 Ri0>27 Ri1>5 Lo0<3 Lo1<0 ] //: joint g231 (w6) @(1275, 927) /w:[ -1 12 11 14 ] //: joint g221 (w7) @(500, 1163) /w:[ 2 -1 1 20 ] //: joint g201 (w7) @(1079, 1163) /w:[ 10 -1 9 12 ] //: joint g234 (Fin) @(1141, 1074) /w:[ 13 14 16 -1 ] _GGAND2 #(6) g175 (.I0(F12), .I1(w121), .Z(w122)); //: @(420,1213) /sn:0 /R:2 /w:[ 0 3 1 ] //: joint g14 (F6) @(450, 979) /w:[ 2 -1 1 4 ] t g168 (._Preset(w7), ._Clear(_Clr), .Clk(Fin), .T(w96), .Q(F10), ._Q(w114)); //: @(756, 1191) /sz:(80, 80) /sn:0 /p:[ Ti0>17 Bi0>41 Ri0>39 Ri1>5 Lo0<3 Lo1<0 ] t g5 (._Preset(w6), ._Clear(_Clr), .Clk(Fin), .T(F1), .Q(F2), ._Q(w61)); //: @(1039, 959) /sz:(80, 80) /sn:0 /p:[ Ti0>19 Bi0>15 Ri0>15 Ri1>9 Lo0<3 Lo1<0 ] //: joint g253 (F3) @(891, 983) /w:[ 2 4 -1 1 ] //: joint g202 (w7) @(940, 1163) /w:[ 8 -1 7 14 ] //: IN g232 (Fin) @(1472,1013) /sn:0 /R:2 /w:[ 9 ] _GGAND2 #(6) g172 (.I0(F10), .I1(w96), .Z(w101)); //: @(716,1215) /sn:0 /R:2 /w:[ 5 0 3 ] t g159 (._Preset(w7), ._Clear(_Clr), .Clk(Fin), .T(w101), .Q(F11), ._Q(w103)); //: @(612, 1191) /sz:(80, 80) /sn:0 /p:[ Ti0>19 Bi0>43 Ri0>43 Ri1>5 Lo0<3 Lo1<0 ] //: joint g247 (F5) @(603, 983) /w:[ 2 4 -1 1 ] //: joint g141 (w88) @(845, 983) /w:[ 2 1 4 -1 ] //: joint g236 (Fin) @(858, 1074) /w:[ 21 22 24 -1 ] //: joint g144 (w72) @(553, 983) /w:[ 2 1 4 -1 ] //: joint g249 (F1) @(1169, 983) /w:[ 4 6 3 -1 ] //: joint g210 (_Clr) @(795, 1287) /w:[ 30 40 29 -1 ] //: joint g222 (Fin) @(1296, 1244) /w:[ -1 4 30 3 ] //: joint g0 (F12) @(449, 1214) /w:[ 2 4 1 -1 ] _GGAND2 #(6) g70 (.I0(F5), .I1(w84), .Z(w72)); //: @(572,983) /sn:0 /R:2 /w:[ 0 0 3 ] //: joint g170 (w92) @(989, 1215) /w:[ 2 1 4 -1 ] //: joint g204 (w7) @(652, 1163) /w:[ 4 -1 3 18 ] assign Fout = {w14, w15}; //: CONCAT g251 @(1410,873) /sn:0 /w:[ 1 0 0 ] /dr:1 /tp:0 /drp:1 //: joint g209 (_Clr) @(651, 1287) /w:[ 28 42 27 -1 ] //: joint g235 (Fin) @(999, 1074) /w:[ 17 18 20 -1 ] //: joint g243 (Fin) @(711, 1302) /w:[ 41 42 44 -1 ] //: joint g233 (Fin) @(1296, 1013) /w:[ 8 -1 10 7 ] //: joint g224 (_Clr) @(499, 1287) /w:[ 26 44 25 -1 ] //: joint g12 (F10) @(747, 1215) /w:[ 2 1 -1 4 ] //: VDD g229 (w6) @(1286,898) /sn:0 /w:[ 13 ] //: joint g173 (w98) @(1129, 1215) /w:[ 2 1 4 -1 ] //: joint g30 (w76) @(990, 983) /w:[ 2 1 4 -1 ] //: joint g239 (Fin) @(1296, 1074) /w:[ -1 6 12 5 ] //: joint g242 (Fin) @(856, 1302) /w:[ 37 38 40 -1 ] endmodule //: /netlistEnd //: /netlistBegin IRorg module IRorg(Clr, Clk, data, bus, br); //: interface /sz:(80, 80) /bd:[ Ti0>br(18/80) Li0>Clk(37/80) Bi0>bus[7:0](43/80) Ri0>Clr(38/80) To01 Bi0>15 Ri0>15 Ri1>1 Lo0<3 Lo1<0 ] //: joint g51 (br) @(898, 656) /w:[ 16 18 15 -1 ] _GGOR2 #(6) g58 (.I0(w48), .I1(w46), .Z(w27)); //: @(702,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: IN g55 (Clr) @(961,849) /sn:0 /R:2 /w:[ 1 ] //: joint g89 (w11) @(510, 849) /w:[ 9 10 12 -1 ] //: joint g112 (Clk) @(289, 893) /w:[ 17 18 20 -1 ] _GGAND2 #(6) g110 (.I0(w9), .I1(w96), .Z(w93)); //: @(-133,700) /sn:0 /R:3 /w:[ 0 5 0 ] _GGOR2 #(6) g77 (.I0(w64), .I1(w63), .Z(w65)); //: @(428,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g65 (br) @(718, 656) /w:[ 12 -1 11 22 ] //: VDD g111 (w87) @(107,722) /sn:0 /w:[ 0 ] _GGAND2 #(6) g76 (.I0(w9), .I1(w61), .Z(w63)); //: @(416,700) /sn:0 /R:3 /w:[ 23 5 0 ] _GGAND2 #(6) g59 (.I0(br), .I1(w50), .Z(w48)); //: @(715,700) /sn:0 /R:3 /w:[ 23 1 0 ] //: joint g72 (w11) @(647, 849) /w:[ 5 6 8 -1 ] //: VDD g99 (w84) @(-167,722) /sn:0 /w:[ 0 ] _GGAND2 #(6) g98 (.I0(br), .I1(w83), .Z(w82)); //: @(29,700) /sn:0 /R:3 /w:[ 33 1 0 ] //: joint g64 (Clk) @(839, 893) /w:[ 1 2 4 -1 ] _GGAND2 #(6) g96 (.I0(w9), .I1(w78), .Z(w81)); //: @(4,700) /sn:0 /R:3 /w:[ 29 5 0 ] //: joint g122 (w53) @(595, 770) /w:[ 2 1 -1 4 ] _GGOR2 #(6) g103 (.I0(w86), .I1(w91), .Z(w88)); //: @(153,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g121 (w36) @(732, 769) /w:[ 2 1 -1 4 ] //: VDD g87 (w70) @(245,722) /sn:0 /w:[ 0 ] _GGAND2 #(6) g78 (.I0(br), .I1(w66), .Z(w64)); //: @(441,700) /sn:0 /R:3 /w:[ 27 1 0 ] _GGNBUF #(2) g50 (.I(br), .Z(w9)); //: @(872,632) /sn:0 /R:2 /w:[ 19 15 ] d g113 (._Preset(w84), ._Clear(w11), .Clk(Clk), .D(w94), .Q(w96), ._Q(w97)); //: @(-217, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>29 Ri0>29 Ri1>1 Lo0<3 Lo1<0 ] d g102 (._Preset(w87), ._Clear(w11), .Clk(Clk), .D(w88), .Q(w89), ._Q(w90)); //: @(57, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>23 Ri0>23 Ri1>1 Lo0<3 Lo1<0 ] _GGAND2 #(6) g69 (.I0(br), .I1(w59), .Z(w57)); //: @(578,700) /sn:0 /R:3 /w:[ 25 1 0 ] //: joint g115 (br) @(169, 656) /w:[ 4 -1 3 30 ] assign {w95, w83, w85, w68, w66, w59, w50, w49} = bus; //: CONCAT g119 @(901,544) /sn:0 /w:[ 0 0 0 0 0 0 0 0 1 ] /dr:0 /tp:0 /drp:0 //: IN g57 (Clk) @(961,893) /sn:0 /R:2 /w:[ 0 ] //: joint g75 (w9) @(556, 632) /w:[ 10 -1 9 20 ] //: joint g53 (w9) @(830, 632) /w:[ 14 -1 13 16 ] d g71 (._Preset(w60), ._Clear(w11), .Clk(Clk), .D(w58), .Q(w54), ._Q(w62)); //: @(469, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>11 Ri0>11 Ri1>1 Lo0<3 Lo1<0 ] //: joint g124 (w61) @(321, 770) /w:[ 2 4 -1 1 ] //: joint g127 (w78) @(-91, 770) /w:[ 2 4 -1 1 ] _GGAND2 #(6) g67 (.I0(w9), .I1(w54), .Z(w56)); //: @(553,700) /sn:0 /R:3 /w:[ 21 5 0 ] //: OUT g131 (data) @(961,1027) /sn:0 /w:[ 1 ] _GGOR2 #(6) g68 (.I0(w57), .I1(w56), .Z(w58)); //: @(565,745) /sn:0 /R:3 /w:[ 1 1 0 ] _GGOR2 #(6) g48 (.I0(w47), .I1(w44), .Z(w18)); //: @(839,744) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g104 (Clk) @(151, 893) /w:[ 21 22 24 -1 ] //: joint g88 (Clk) @(563, 893) /w:[ 9 10 12 -1 ] //: joint g73 (Clk) @(701, 893) /w:[ 5 6 8 -1 ] //: joint g62 (w11) @(784, 849) /w:[ 1 2 4 -1 ] d g63 (._Preset(w51), ._Clear(w11), .Clk(Clk), .D(w27), .Q(w53), ._Q(w55)); //: @(606, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>7 Ri0>7 Ri1>1 Lo0<3 Lo1<0 ] _GGAND2 #(6) g107 (.I0(br), .I1(w95), .Z(w92)); //: @(-108,700) /sn:0 /R:3 /w:[ 0 1 0 ] //: joint g106 (w11) @(-39, 849) /w:[ 25 26 28 -1 ] //: joint g52 (br) @(855, 656) /w:[ 14 -1 13 20 ] _GGOR2 #(6) g83 (.I0(w69), .I1(w74), .Z(w71)); //: @(291,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: VDD g109 (w77) @(-30,722) /sn:0 /w:[ 0 ] //: joint g74 (br) @(581, 656) /w:[ 10 -1 9 24 ] _GGAND2 #(6) g100 (.I0(br), .I1(w85), .Z(w86)); //: @(166,700) /sn:0 /R:3 /w:[ 31 1 0 ] _GGNBUF #(2) g56 (.I(Clr), .Z(w11)); //: @(920,849) /sn:0 /R:2 /w:[ 0 0 ] //: IN g133 (bus) @(961,544) /sn:0 /R:2 /w:[ 0 ] //: joint g117 (br) @(32, 656) /w:[ 2 -1 1 32 ] d g95 (._Preset(w77), ._Clear(w11), .Clk(Clk), .D(w67), .Q(w78), ._Q(w79)); //: @(-80, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>27 Ri0>27 Ri1>1 Lo0<3 Lo1<0 ] //: joint g79 (w11) @(373, 849) /w:[ 13 14 16 -1 ] //: joint g94 (w11) @(98, 849) /w:[ 21 22 24 -1 ] _GGAND2 #(6) g80 (.I0(br), .I1(w68), .Z(w69)); //: @(304,700) /sn:0 /R:3 /w:[ 29 1 0 ] _GGAND2 #(6) g47 (.I0(br), .I1(w49), .Z(w47)); //: @(852,699) /sn:0 /R:3 /w:[ 21 1 0 ] //: joint g92 (br) @(307, 656) /w:[ 6 -1 5 28 ] //: VDD g85 (w75) @(382,722) /sn:0 /w:[ 0 ] _GGOR2 #(6) g105 (.I0(w92), .I1(w93), .Z(w94)); //: @(-121,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g84 (Clk) @(427, 893) /w:[ 13 14 16 -1 ] //: joint g125 (w72) @(184, 770) /w:[ 2 4 -1 1 ] _GGAND2 #(6) g101 (.I0(w9), .I1(w89), .Z(w91)); //: @(141,700) /sn:0 /R:3 /w:[ 27 5 0 ] _GGAND2 #(6) g81 (.I0(w9), .I1(w72), .Z(w74)); //: @(279,700) /sn:0 /R:3 /w:[ 25 5 0 ] //: VDD g60 (w51) @(656,722) /sn:0 /w:[ 0 ] //: joint g123 (w54) @(458, 770) /w:[ 2 4 -1 1 ] //: joint g116 (w9) @(144, 632) /w:[ 4 -1 3 26 ] //: joint g93 (w9) @(282, 632) /w:[ 6 -1 5 24 ] //: VDD g54 (w45) @(793,721) /sn:0 /w:[ 0 ] //: joint g126 (w89) @(46, 770) /w:[ 2 4 -1 1 ] //: VDD g70 (w60) @(519,722) /sn:0 /w:[ 0 ] d g45 (._Preset(w45), ._Clear(w11), .Clk(Clk), .D(w18), .Q(w36), ._Q(w35)); //: @(743, 745) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>3 Ri0>3 Ri1>1 Lo0<3 Lo1<0 ] //: joint g90 (br) @(444, 656) /w:[ 8 -1 7 26 ] _GGAND2 #(6) g46 (.I0(w9), .I1(w36), .Z(w44)); //: @(827,699) /sn:0 /R:3 /w:[ 17 0 0 ] assign data = {w96, w78, w89, w72, w61, w54, w53, w36}; //: CONCAT g120 @(697,997) /sn:0 /R:3 /w:[ 0 0 0 0 0 0 0 5 5 ] /dr:1 /tp:0 /drp:1 //: joint g114 (w11) @(236, 849) /w:[ 17 18 20 -1 ] _GGOR2 #(6) g97 (.I0(w82), .I1(w81), .Z(w67)); //: @(16,745) /sn:0 /R:3 /w:[ 1 1 0 ] //: joint g66 (w9) @(693, 632) /w:[ 12 -1 11 18 ] d g82 (._Preset(w70), ._Clear(w11), .Clk(Clk), .D(w71), .Q(w72), ._Q(w73)); //: @(195, 746) /sz:(80, 80) /sn:0 /p:[ Ti0>1 Bi0>19 Ri0>19 Ri1>1 Lo0<3 Lo1<0 ] //: joint g128 (w96) @(-228, 770) /w:[ 2 4 -1 1 ] //: joint g108 (Clk) @(15, 893) /w:[ 25 26 28 -1 ] //: joint g91 (w9) @(419, 632) /w:[ 8 -1 7 22 ] //: joint g118 (w9) @(7, 632) /w:[ 2 -1 1 28 ] //: IN g49 (br) @(961,656) /sn:0 /R:2 /w:[ 17 ] endmodule //: /netlistEnd //: /netlistBegin shift module shift(lr, Ci, roc, O, la, ro, A, S, Co); //: interface /sz:(164, 80) /bd:[ Ti0>lr(16/164) Ti1>la(48/164) Ti2>A[7:0](81/164) Ti3>ro(112/164) Ti4>roc(144/164) Ri0>Ci(39/80) Lo07 Ti1>0 Ti2>1 Li0>1 Ri0>0 Lo0<1 Lo1<1 Bo0<0 Bo1<0 Ro0<1 Ro1<0 ] //: joint g18 (lr) @(406, 119) /w:[ 2 1 4 6 ] //: OUT g12 (Co) @(305,541) /sn:0 /R:3 /w:[ 0 ] endmodule //: /netlistEnd